Molecular contamination: The urge to purge

A combination of minienvironments, thorough particle and chemical filtration systems, close environmental monitoring, and purging around key lithography steps can give operators control over contamination and improvements in yields.

By Sarah Fister Gale

Getting control of surface contamination has become the Holy Grail for semiconductor and other high-tech fab production managers intent on increasing yield and avoiding costly part replacements. With geometries dropping to the nanoscale, chemical contaminants have much greater opportunities to wreak havoc in the cleanroom and the tools than any previous generation of technology. Airborne molecular contamination (AMC), surface molecular contamination (SMC), and contamination in gases can cause problems including reticle and optics degradation, metal corrosion, resist defects, and electrical defects.

Several approaches can be used to control these problems:

  • Purifying the air using AMC filters. IEST Working Group 35 is developing standards to test AMC filters for whole cleanrooms or minienvironments.
  • Using UHP clean dry air (CDA) or inert purge gases.
  • Isolating wafers and reticles in sealed boxes to minimize air exposure.
  • Using cluster tools to complete several processes in sequence without exposing the most sensitive steps to AMC, which can cause SMC to form.
  • Using the shortest possible process queue times between the most sensitive steps. For example, nearly all production lithography tools now have coat/develop tracks directly linked to each stepper to minimize delays and AMC exposure.

Purge technologies have become one favored solution in many wafer fabs to maintain contamination levels to low parts per billion by volume (ppbv) levels or lower, especially around delicate and expensive lithography and inspection tools. But it’s not a one-size-fits-all solution. Fab operators must find the right balance of isolation, filtering, monitoring, and cost when implementing contamination control systems both for individual tools and throughout the facility.

On the surface

Geometries have shrunk so much in semiconductor manufacturing that contaminants, even at the molecular level, have the power to cause damage without any chemical or electrical reaction, says Chris Muller, technical director for Purafil, a manufacturer of air filtration systems (Doraville, GA). “Some chemical deposits are large enough to act as particles,” he says. “With device geometries of 65 nm in width and smaller, local condensation of molecular contaminants or deposition of reaction products formed between different molecular contaminants can act as a bridge, creating shorts in the circuitry. Even if it doesn’t cause corrosion, it’s still a problem.”

Understanding molecular contamination is all about identifying what’s in the air or gases and what’s causing problems on surfaces, notes Mark Camenzind, senior technical advisor for Balazs Analytical Services, a division of Air Liquide Electronics U.S. LP, which operates ISO 17025 certified laboratories that specialize in identifying ultra-trace level contamination (Fremont, CA). “Surfaces are the most common place where problems arise,” for several reasons, Camenzind says.

  • The surfaces can get degraded by SMC, such as hazing of optics, wafers, masks, and inspection tools, or corrosion of copper, aluminum, or other metallization.
  • Deposits on the surface, such as dopants, can diffuse into the substrate during high-temperature processing steps and affect electrical properties such as resistivity and threshold voltages.
  • Deposits can affect the next layer, causing epitaxial failure or defects on silicon nitride, polysilicon, gate oxide, barrier, and seed layers.
  • The top surface for one step will become the interface to the next layers. Contaminants at this interface can cause adhesion failure between layers, contact resistance, trapped charges, and magnetic defects, among other problems.

“Ten years ago, the focus for the SIA [Semiconductor Industry Association] and SEMI was on airborne contamination, but the ITRS [International Technology Roadmap for Semiconductors] is now also putting more focus on the surface and gas impurities,” Camenzind notes.

Contaminants may be combinations of organic, inorganic, ionic, or polymeric compounds. “What matters is what is on the wafer,” he says. “You have to focus on the effects contaminants have on your processes. Some contaminants don’t hurt you if they don’t impact your processes.”

It sounds simple, but identifying which contaminant, combination of contaminants, or chemical breakdowns that result in contaminants is a Rubik’s Cube that is not easily solved. For example, some silicon-containing compounds may bounce off of every surface, but when they react with ultraviolet light at 193 nm they can decompose and create compounds that will permanently deposit on the optic lens surface.

Contaminants from ambient air, gases, outgassing from purge boxes and other materials, isopropyl alcohol vapors, incoming parts and materials, and backside contamination due to maintenance can all potentially create surface contaminants that cause problems and defects. Other molecular contamination problems can occur due to plasma attack on seals, gate valves, and O-rings. Contaminants, such as ammonia, tetramethyl ammonium hydroxide (TMAH), and N-methylpyrrolidinone (NMP), are now just as significant a concern in the manufacturing environment. Ammonia, NMP, and TMAH are included in the list of bases in SEMI F21-1102 standards language and SEMATECH’s 0.25-micron process guidelines for AMC control.

Numerous sources of chemical contamination exist in the cleanroom environment; however, the challenge is in identifying which chemical or combination of chemicals is causing problems and which ones need to be filtered out. “You can’t approach this with blinders on,” Purafil’s Muller says. “There may not be a solution that is specific to a single chemical because there can be interactions with and between the multitude of contaminants commonly present in the environment.”

He believes that it is short-sighted to test the impact of individual chemicals in isolation because that doesn’t tell you what happens when they exist simultaneously in the environment. “If you focus your filtration system on a single chemical you aren’t addressing the whole problem.” For example, an ion exchange filter is excellent at removing ammonia but not at removing amines. “You may remove one problem but cause another, and that can result in additional performance loss,” he says.

At the same time, trying to filter out every chemical that is in the environment doesn’t make good financial sense. “You don’t always need lower levels of everything that may be in the air. There has got to be justification that you are getting what you need from a filter without doing too much,” Muller says. “You have to identify the right contaminant(s) and the right control levels in both the cleanroom ambient as well as in the minienvironments.”

Click here to enlarge image

Muller now often encounters clients who want to reduce specific chemicals in the environment to one part per trillion (ppt) without having the information to support such a drastic approach. “Some feel that just because they are going to the next device generation, they must need to have better air quality than currently. The cost benefit has to be there. Systems can be designed to control molecular contamination to ppt levels, but just as there has to be a cost justification to do so. Just as when designing a Class 1 vs. a Class 10 cleanroom environment for particulates, there are also significant cost considerations when designing for ppt vs. ppb control levels for molecular contaminants.”

He also warns that you shouldn’t look at molecular contamination control systems as a place to cut costs. “It’s a small amount to pay compared to scrapping a day of wafer production due to a contamination incident. One day’s lost production alone can cost manufacturers millions in device losses.”

To make the best economic choices, fab operators should invest the time in identifying the cause of contamination incidents and to explore the synergistic effects of that contaminant with other chemicals in the atmosphere. “The solution may require three filters to control three specific chemicals, or it may involve a less specific ‘pre-filter’ to combat multiple contaminants at the beginning of the process,” Muller suggests. “In a Class 1 cleanroom you may go through four to five stages of particulate filtration but only one chemical filter. You can’t achieve everything you need to achieve with one filter.”

You also can’t necessarily rely on vendor data alone when judging the performance or lifespan of a chemical filter because in-house vendor testing data may not reflect realistic cleanroom conditions or testing protocols. Muller encourages companies to require third-party testing at near-ambient conditions with reports that include measurements as well as some conclusions about those measurements and what they mean. “For years we’ve preached to customers ‘don’t rely solely on the vendor for performance data’-use that as a starting point to qualify vendors, but also ask for third-party testing,” he says, noting that this will weed out the vendors who don’t have a client’s optimal interests at heart.

Once chemical filters are in, regular monitoring of their effectiveness can help avoid losses, adds Allyson Hartzell, managing scientist for Exponent, an engineering and scientific consulting firm (Natick, MA). “You can’t predict the lifecycle of a filter because of all the different concentrations of contaminants in the environment or incidents that can shorten the lifespan,” she says. “You have to be able to monitor up and downstream of filters to determine their life effectiveness.”

Purge trends

Adding more rigorous chemical filtration systems is a significant step toward managing molecular contamination for both air and gases, and these solutions work in conjunction with other contamination control tools. Additional filters on minienvironments, along with purge gases, are adding a further layer of control around extremely delicate process steps.

Enclosing process steps to reduce contaminants from ambient air is a trend that’s been steadily gaining popularity over the years because it enables fab operators to customize the contamination control necessary around critical steps in small areas while lessening controls over the larger space. Nitrogen purging is adding to the trend, as fab operators struggle to reduce the impact of surface molecular contaminants. Purging involves surrounding the process step in an isolation chamber through which ultra-pure inert gas, such as nitrogen, can be flushed, eliminating all ambient air from the optical path and exposed surfaces, and dramatically reducing the chance for surface contamination to cause problems.

“Most 300 mm and 200 mm fabs already confined wafers in front-end unified pods (FOUPs) and pods for much of their lifetime in the fab,” Camenzind says. UHP purge gases are being added as an additional control, particularly in support of the rapidly growing movement to implement deep UV (DUV) optics with shorter wavelengths to produce higher density memory and processor chips. “The shorter wavelengths, especially 193 nm, will become the workhorse of the semi fab,” Camenzind says.


Figure 2. The Entegris RSP3 Clarilite pod assists in reticle haze prevention. The arrows demonstrate that the minienvironment is being purged of potentially harmful contaminants, maintaining a clean environment for the reticle inside the pod. Photo courtesy of Entegris.
Click here to enlarge image

In order to successfully employ DUV photoresist lithography, the airborne ammonia, amine, and NMP levels need to be minimized, controlled, and monitored. Most DUV photoresists are based on chemical amplification design principles, involving acid catalyzed deblocking of protective groups attached to the main polymer chain. The acid species-produced by photolysis of a photoacid generator dispersed throughout the resist film-has been found to be susceptible to neutralization by airborne-based contamination, which interferes with the propagation of the acid, and causes defects.

Unfortunately, surface contamination presents particularly challenging problems in these UV systems because most chemical species demonstrate extremely high absorption at shorter wavelengths. The effect is especially pronounced at the exposed surface of the resist where as little as 17 ppb airborne contamination of ammonia or 10 ppb N-methylpyrrolidinone has been shown to T-top resist profiles and impact critical dimensions.

“A microlithography system may contain 60 or more individual optical surfaces, so the loss of only one percent of the light per optical surface can cause the loss of about half of the system transmission,” Camenzind points out. “Surface absorption may also lower the lifetime of smaller optics that experience higher fluences.”

Tracy Niebeling, marketing manager for 300 mm solutions at Entegris, a materials integrity management company (Chaska, MN) agrees. “In 90 nm technology at 300 mm, it’s all about isolation strategies,” he says, noting that the cost of defects on reticles due to haze formation, in particular, can be particularly exorbitant. “It’s an acute problem in fabs with DUV lithography tools.” Reticle haze is formed when impurities deposit on a reticle due to the presence of molecular contaminants and moisture in the reticle environment.

Purging FOUPs with nitrogen or CDA removes airborne molecular contaminants that can potentially damage integrated circuits and significantly affect manufacturing yields. Maintaining silicon wafers in an inert environment has also been proven to enhance certain manufacturing processes and has been used to protect wafers in pods or FOUPs that are at rest on storage racks or in process queues.

To completely avoid contamination when FOUP chambers are opened, a continuous flow of nitrogen or CDA can be delivered through ports on the front end of the minienvironment using a single-pass or a recirculating system. However, that option is very costly. Most FOUPs have inlet/outlet ports on the bottom of the environment with calculated flow rates to effectively replace the old environment without creating unnecessary currents in the system.

These chambers include stringent airborne molecular contam-ination filtration for ammonia, amines, and sulfur dioxide, as well as other acid and base and sulfur dioxide controlled to the parts per trillion (ppt) levels to protect the lens systems from unacceptable rates of contamination growth. Because all materials outgas to some degree, the chambers are continuously flushed with a fresh supply of ultra-pure inert gas to eliminate even low levels of outgassed contamination.

To purge or not to purge

“Both purification and purge technologies are being used to prevent haze and crystal growth,” Niebeling says. To that end, Entegris recently launched the Clarilite Certified brand system, which reduces the need for frequent reticle cleaning by providing a continuous cleansing environment for the reticle using chemically purified gas. The system includes products and services for work-flow analysis, engineering, gas microcontamination, microenvironment control, and testing to prevent reticle haze.

However, because enclosed purge gas systems are an expensive solution, it doesn’t make sense to enclose every process step, Niebeling says. “Purging everything doesn’t pay off, but selected use does. The six million dollar question is which steps to purge to see that payoff.”

Unfortunately, every fab owner has to make that discovery on their own because every wafer process environment is different and the most valuable information is typically proprietary.

“It depends on the process, the device, and the layout of the operation,” Niebeling says, adding that the most likely steps where purging would be appropriate are those in which there is the potential for unintentional oxide growth, steps with electrical properties, and processes that involved layering in which molecular deposit can affect adhesion. “We advocate a system approach. Purging alone won’t solve all your problems. It has to be part of a larger system that includes good materials, proper sealing, and appropriate filters and monitoring. The ability to purge just adds to that system, making it even more effective.”

Hartzell points out that one of the ways to determine which steps require purging is to identify those areas that can or can’t live with swings in temperature and humidity. “If they can’t handle changes of less than a degree, you may need to isolate them or you’ll see yield problems due to corrosion or static.”

It is also a way to begin energy reduction strategies. “The semiconductor industry sucks a lot of energy out of the world,” Hartzell says. “We have to pay attention to the environment and how much energy and water we use if we want to continue to develop this field.”

In response to that, the ITRS is currently exploring the acceptable ranges for temperature and humidity in the wafer fab in an effort to address soaring fuel costs. “It’s the first step toward identifying ways to save energy in the fab. If we can focus strict energy and humidity control down to the tool environment, we can have looser specs for temperature and humidity in the fab,” Hartzell says. “ITRS is very focused on making sure the industry becomes more energy efficient. Our goal is to help with the energy and water situations while still providing high yield and a reliable path to successful development.”

Companies such as Lighthouse Worldwide Solutions, a supplier of contamination monitoring systems in Fremont, CA, now offer low-cost temperature and relative humidity sensors as part of an integrated solution for such monitoring needs. The Lighthouse tool can be used in or near process equipment to provide a real-time view of environmental conditions in the vicinity of the product.

Keeping watch

Once the contamination control system is in place, whether it includes purge chambers, minienvironments, or open ballrooms, continuous monitoring of the environment is a necessary step to maintaining a leaner, more effective fab and is a fundamental part of next-generation lithography subsystems. Continuous monitoring of the tool subsystem environment and the inert gas inputs to the tool is required for damage limitation. In 157 nm lithography systems, verification of input gases to the tool from the fab is critical in order to ensure that the supply is maintained within specs. For extreme UV (EUV) lithography systems, monitoring of moisture and hydrocarbons in the vacuum tool environment is also essential.

One promising development in monitoring molecular contamination is the use of surface acoustic wave (SAW) technology, says Hartzell. This technology uses a high-frequency, temperature-controlled SAW sensor to detect miniscule changes in mass on critical surfaces caused by interaction of organic and inorganic contaminants. “It shows in real time if something has deposited on a surface that you don’t want there,” she says.

Ion mobility spectroscopy (IMS) of sub-ppb levels of amines and ammonia, and photo acoustic spectroscopy (PAS) to quantify organics such as methane and siloxane, are also useful monitoring techniques. Particle Measuring Systems offers the AiM-200, a monitor using a SAW sensor to detect surface molecular contamination as ng/cm2 output, which can be correlated to sub-ppb levels. The company also has a Molecular Contamination brand ion mobility spectrometer for ppb level monitoring of acids and bases. This offers the advantage of being able to see the net effect of complex air chemistries, environmental conditions, and the chemical/physical nature of the surface and provides data that complements traditional test wafer monitoring.

However, these tools can be financially out of reach for some fabs, notes Hartzell. “The need for more low-cost in situ monitoring techniques to control specific areas in the fab is apparent.”

One lower-cost alternative is grab sampling, which provides an environmental snapshot of the fab, Camenzind notes. This method of testing is highly selective and provides a detailed identification of each chemical detected. However, it doesn’t capture random events and AMC excursions such as chemical spills, and it takes several days to get test results. The best combination for testing, in his opinion, is more expensive online monitoring for the most critical processes and grab sampling when needed to more rigorously identify contamination periodically or during upsets.

“In the end, it’s an industry driven by dollars, yen, euros, yuan, or won,” says Camenzind. “You want high, predictable yields but you don’t want to waste money. To achieve that, you have to identify what affects each process step -not the whole fab-and take reasonable precautions against the most probable upsets.”


SEMATECH explores wafer cleaning at 45 nm and beyond

SEMATECH is exploring potential solutions for preparing wafers for manufacturing at and beyond the 45 nm technology generation. Technologists at SEMATECH’s 2007 Surface Preparation and Cleaning Conference in April reported several techniques for non-damaging particle removal from wafer surfaces, along with multiple methods for removing photoresist with minimal silicon and oxide loss.

“The 45 nm generation is coming up fast-and some chip-makers are there already-and many of the manufacturing issues are connected to surface preparation and cleaning,” said SEMATECH’s conference chair, Joel Barnett. “The conference made it clear that many of our chemistries and approaches will have to change, but that plenty of potential solutions are being considered for 45 nm and beyond.”

Some potential solutions included:

  • Shock tube-enhanced laser-induced plasma (LIP) shock waves for sub-50 nm nanoparticle removal, from Clarkson University. This approach confines LIP beams to specially engineered “shock tubes” to increase the cleaning power of shock waves.
  • Plasma-assisted cleaning by electrostatics (PACE), offered by the University of Illinois at Urbana-Champaign. This technology utilizes broad-area plasma to provide a negative charge to contamination, allowing it to be repelled electrostatically.
  • An ionized molecular-activated coherent solution, proposed by Nano Green Technology, Inc. This method uses a charged solution of ammonia in water to form clusters that attract particles at the molecular level without damaging the wafer surface.
  • Parametric nanoscale cleaning from Lancetta, Inc. The company suggested a technology that removes particles by forming nanoscale bubbles to absorb the contaminants.

Solutions for photoresist issues included photoreactive cleaning from UVTech Systems; a CO2 cryogenic press and non-oxidizing chemistry from DuPont Electronic Technologies, EKC Technology, and BOC Eco-Snow Systems; and methodologies for all-wet chemistries from FSI International and SEZ Group.

Earlier in the conference, keynote speaker Jadgish Prasad of AMI Semiconductor urged engineers to consider the requirements of surface cleaning when designing future generations of microchip circuits. Design dominates how wet processing is done, and processing limitations in turn influence the design process, he said.

Reflecting a chip-maker’s perspective, Prasad emphasized the critical influence of surface preparation on yield and reliability. “Sixty percent of fab-related (yield) problems are related to cleans, and another 12 percent to etching steps,” he said. Prasad predicted that manufacturers will need to adopt new etch chemistries and cleaning regimens for the 45 nm generation and beyond.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.