Immersion lithography reaches new heights at CO symposium

by M. David Levenson, Editor-in-Chief, Microlithography World

The location chosen for the fourth International Symposium on Immersion Lithography, sponsored by SEMATECH, IMEC and Selete, illustrated the prominence gained by this manufacturing technology since its founding meeting in Bruges, Belgium — the site in Keystone CO, was 9300 feet above sea-level Bruges, by far the highest altitude for any lithography conference. The 251 attendees heard that three types of 193nm water immersion exposure tools are being used for mass production: Nikon NSR609Bs for 55nm and 610Cs for <50nm NAND at Toshiba, and multiple ASML 1700i's at Samsung for ~50nm chips. Solutions for the few remaining difficulties are at hand, and machines designed to achieve the maximum resolution possible with water as the immersion fluid are being delivered in time for the 45nm chip generation.

However, 45nm may be the high-water mark; the course beyond that seems murky. Exposure wavelength will remain fixed at 193nm, so media with higher refractive indices are needed if dimensions are to shrink in single-exposure lithography. Synthetic (Generation-2) immersion fluids with indices around 1.64 (14% higher than water) have appeared, but development of suitable lens materials is delayed. Worse, the ~10% resolution improvement possible with Gen-2 immersion is insufficient for 32nm production, for which the immersion fluid, lens material, and resist must all have an index of refraction near 2.0. While one of the potential lens materials has such an index, John Hoffnagle of IBM deduced a possibly fundamental reason why suitable Gen-3 fluids and resists cannot be made.

Thus, symposium chairman Bryan Rice, an Intel assignee to SEMATECH, visualizes an insertion point for high-index (Hi-n) immersion at the 22nm node, with double patterning, giving the crystal growers and resist chemists more time for R&D. Roger French of Dupont claimed that Gen-2 technology could improve the production economics even for cases like 22nm double patterning, where water immersion seems theoretically feasible. The question is whether that improvement will be enough, or whether some other development — like EUV — might make high-index immersion irrelevant by the time it is ready for production. A decision point on Gen-2 exposure tool development is coming early next year, according to Diane McCafferty of ASML.

The properties of plausible lens materials must be understood before any such decision is made — and it is particularly disappointing that the absorption of the favorite Hi-n material, LuAG (lutetium aluminum garnet, n=2.15), remains twice the target needed to demonstrate feasibility, and more than 20X that possible for a production system. The problem, according to Lutz Parthier of Schott Glass, is obtaining consistently pure starting material. Still, Schott has now grown an 80mm x 300mm boule of LuAG using a core-free process that keeps the index uniform, and the attenuation (0.11cm-1) is sufficient for laser damage studies and interferometric lithography experiments. Prisms for interferometers and samples for test will be fabricated by the end of the year, Parthier reported. He also set the goal of producing the first 150mm diameter lens blank before the end of 2009.

The alternative lens crystal is BaLiF3, being developed by Tokuyama Corp. in Japan, with a lower index (n=1.64) that makes it suitable for Gen-2, though not Gen-3, systems. It is, however, related to widely used materials such as CaF2. Growing uniform, strain free, low attenuation crystals of BaLiF3 has proved challenging. Toshiro Mabuchi of Tokuyama reported in a poster that 150mm diameter single crystal boules have been grown and annealed to push attenuation below 0.003cm-1 and stress birefringence below 1.7nm/cm.

Laser durability and homogeneity tests are underway, with a final assessment planned for mid-2008.

Organic Hi-n fluids have higher viscosity and lower surface tension than water, restricting the scan speed of current local-fill immersion technology. Alternatives include a wafer-wet design or a scheme where droplets left behind during the exposure scan are mopped up later. Both require that the fluid and resist do not interact over fairly long time periods, reported Diane McCafferty of ASML. Taiichi Furukawa of JSR reported that low-attenuation Gen-2 fluids had been synthesized and specially developed topcoats resulted in contact angles above 70°, potentially speeding throughput. Technologies to purify and de-gas the expensive Gen-2 fluids for re-use had been shown to work, according to several authors.

Gen-3 fluid, however, is another matter. Chemical schemes to increase the index of refraction of liquids had not given high enough indices or low enough attenuations at 193nm. So, the plan had become to suspend nanoparticles of high-index solids (like LuAG) in the immersion fluid. John Hoffnagle pointed out that a fundamental relationship between the index increase, turbidity, and light scattering of such composites had been known for a century. He derived an inequality that showed that the nanoparticle dimensions have to be very small — as small as a single molecule — if 10% of the light is not to be scattered, or the “fluid” congeals into moist nanoparticle sand.

A panel discussion on Hi-n materials agreed that cost and timing were key concerns. Will Conley of Freescale Semiconductor and Harry Sewell of ASML both advocated increased R&D funding to make materials available when they will be needed. One problem is that the organizations capable of such advanced research were terribly burned in the 157nm lithography debacle and are wary of the financial dangers of such speculative large-scale projects. Another is the timing: L.J. Chen of TSMC pointed out that his company would need an exposure tool in 2009 for 22nm process development. A Gen-3 (NA=1.85) prototype would support single exposure technology with k1=0.31 — otherwise, double patterning would be adopted. The state of Hi-n technology reported at this symposium suggests a tremendous flood of innovation will be needed.

The wonders of water
The sessions on water immersion technology seemed to wash away the murk of the Hi-n problems, though perhaps in a surge of hype. Both ASML and Nikon reported wonderful performance for their latest commercial tools. Jos Beschop of ASML claimed that over 3 million wafers had been processed by his firm’s immersion systems, and that with CD uniformity of 1.5nm for 45nm linear structures and overlay at the 4nm level, the ASML XT:1900i is ready for production. Andrew Hazelton of Nikon reported that 10 NSR-610C systems have been shipped, capable of 40nm line/space patterns. Since the auto-focus sensor was calibrated during every wafer change, the focus accuracy was 15nm. Over a two month period, Nikon’s local fill technology had maintained a defect level of 0.07cm-1, he noted. Canon, meanwhile, reported the status of its yet-to-be-delivered FPA-7000-AS7 water immersion tool, claiming even lower defectivity due to an innovative cleaning process from TOK.

Defects due to water droplets and bubbles have been conquered, according to the speakers, but those related to particles need continued attention. Many wash onto the wafer from the edge bevel, where topcoat materials tended to delaminate. Eliminating the spun-on topcoat material by adding a material to the resist that segregated to the surface produced the best results, according to Kentaro Goto of JSR. The receding contact angle for that in-situ topcoat was 86°, higher than most spun-on materials. He also reported that a new rinse step eliminated the “blob” defect that appears on unexposed resist.

Katsushi Nakano of Nikon reported that a new optimized edge process from TEL insures that the topcoat does not extend beyond the BARC layer, reducing particles by a factor of two in volume production. Jan Willem Cromwijk of ASML reported that 28 1700i systems in high-volume production maintain a 10/wafer defect level for three months. Keeping the tool running during idle time with special “autoflush” wafers reduced defects by 80%, and proper edge treatment and bevel cleaning reduced the remainder by 60%. However, the more sensitive metrology used for first five XT:1900i tools revealed 37 defects/wafer, he admitted.

Focus sensor technology was one subject of controversy on early immersion tool designs. ASML’s Twinscan system allowed the wafer height mapping technology of their dry tools to be applied in immersion. Nikon implemented a new water immersion wafer mapping scheme on the lens. After some engineering effort, both appeared to work. However, Li-Jui Chen of TSMC reported a peculiar anomaly characteristic of ASML’s 1400i and 1700i scanners — the wafer heights measured dry did not predict proper focus accurately enough across the immersed wafer. Evidently the weight of the water and the uneven cooling introduced across-wafer variations of up to 89nm for the 1400i’s — and worse, the shift depended on many things, including scan speed, contact angle, and field size. While special procedures reduced the uncorrected variability to 40nm on the 1700i’s, the final solution was a new ceramic wafer table material on the 1900i with better stiffness and thermal characteristics, reducing the systematic variation to 15nm. K.S. Chen reported that TSCM’s 1400i immersion tools are being converted to back dry lithography, but that 45nm production would begin there on 1700i tools by year’s end. — M.D.L.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.