SPIE: And now there are three, again

by M. David Levenson, Editor-in-Chief, Microlithography World

March 6, 2008 – The traditional Friday session at the SPIE Advanced Lithography conference, highlighting the performance of deployed exposure tools (Feb. 29) featured the return of Canon to leading-edge manufacturing equipment. Keiji Yoshimura described the Canon FPA-7000AS7 1.35NA immersion scanner with twin wafer stages as “optimized for high-volume production.” The low-pressure liquid-film flow immersion nozzle limited vibration, enabling printing of 37nm line-space patterns (k1=0.259) with polarized dipole illumination, 1nm less than the previous record, he reported. Lens aberrations were <5mλ for the most recent five lenses built and the local flare was <0.5%. A special stage cleaning head could be periodically deployed, which reduced particle related defectivity by 80%, while another configuration was available to clean the lens. The champion wafer showed only six defects, most nanobridges and none immersion related, for a defect density of 0.012/cm2. Over seven weeks of operation, the defect density for 45nm line-space patterns was 0.046/cm2. CD uniformity, focus accuracy, scan distortion, and single machine overlay specs were all world class (CDU=1.9nm 3σ, average of 43nm horizontal and vertical lines and spaces). The throughput spec was 133 wafers/hour with a wafer stage speed of 400mm/sec. Unfortunately, a corporate reorganization had recently decimated the technical marketing effort, limiting public information availability.

Meanwhile, Andrew Hazelton reported the latest results with the Nikon NSR-609Bs and 610Cs in production. It was now clear that the middle ranges of contact angles (advancing ~98°, retreating ~86°), available with topcoat-less resists, constituted the “sweet spot” for low defectivity (below 0.07/cm2 for 3 months) as well as low cost and process complexity. With a top-coat-less resist over dual BARC, a NSR-610C had printed 39nm line-space patterns with 800nm DOF (k1=0.262). Line-edge roughness (LER) was now <3.6nm on 45nm and 55nm applications and a scan speed of 500mm/s could be achieved without topcoat. Hazelton reported that the Nikon calibration stage sensors allowed frequent illumination and lens performance diagnostics, resulting in a 0.9nm CDU and 15nm focus stability, and that the tool uptime was comparable to dry tools.

Toshiharu Nakashima and Tomoyuki Matsuyama added detail in separate Nikon papers on thermal aberration control and intelligent imaging. To compensate for the effects of lens heating with extreme illumination or mask patterns, Nikon employs optical fiber-delivered IR heating beams as well as electronic actuators to move lens elements. The net result is a reduction of astigmatism-like focus shift to 6nm from 36nm with the correction custom-tuned to a NAND-like mask. Matsuyama described the Nikon scanner signature file (NSSR) which captures tool characteristics to facilitate modeling and OPC. In passing, he also alluded to precision measurements of illumination speckle, which seemed to indicate some influence on LER and perhaps account for some exposure latitude reduction. Matsuyama looked forward to an advanced equipment control regime where all the parameters available to the operator could be used intelligently to optimize performance.

Not to be outdone, Jos de Klerk touted the latest developments in ASML immersion scanners, which he claimed, have now printed more than 8M wafers. Continued improvement has pushed the aberration level toward 3.5μm, reducing CDU to 1nm and single machine overlay <6nm on the /1900i. According to de Klerk, what limits resolution in single-exposure immersion lithography to 38nm is the mask error enhancement factor (MEEF) -- but even so, ASML's best scanner can print that with 1.6nm CDU. To reduce the effect of asymmetrical lens heating, ASML has implemented mechanical deflection of lens elements. A more rigid stage reduces thermal and mechanical shifts to 0.5nm. With a JSR topcoat-less resist, the defect level now is below 10/wafer, and customers now routinely print 2500 wafers/day on immersion tools, he reported.

Looking forward, de Klerk foresees innovations needed for double patterning lithography. To get more throughput (or approach the same with two exposures), the stages will have to tolerate more acceleration as well as higher velocity. A new immersion hood can maintain a good water meniscus configuration even at speeds of 1500mm/s.

Because the dominant error source for overlay is air turbulence in the ~0.5m long beam paths of the interferometers that control the stages, ASML proposes a new configuration with a 15mm beam path. While no one described the mechanism of this new scheme, reducing the beam path below the 300mm needed to traverse a wafer implies the use of a grating scale or other metrology artifact, the most radical change in precision control since the invention of the Zeeman stabilized laser interferometer at HP more than 30 years ago.

In related talks, Donis Flagello of ASML and Lieve van Look of IMEC described methods for matching the OPC signatures of different exposure tools by understanding and adjusting the illumination conditions. With more mix-and-match among disparate tools as well as pervasive OPC, new metrics and methods are needed. ASML’s Mark van de Kerkhof recounted how sophisticated scanner technologies — such as his company’s DoseMapper — have become essential for correcting nonuniformities produced by other tools in volume manufacturing, such as hot-plates and etchers.

Polarization at the wafer plane is also an issue for scanner users. Both Hiroshi Nomura of Toshiba and G.R. McIntyre of IBM described methods for characterizing polarization when light is converging over large angles. McIntyre’s scheme employed a mask plate with PSM patterns known to print differently in resist under different polarization conditions, and illuminated through pinholes on the back of the mask plate. Polarization state is inferred from SEM images in a resist exposure series. Nomura’s polarimeter employed calcite as a wide-field dichroic polarizer with special zero-order waveplates made of quartz and sapphire, also on a reticle-like plate. The image detector at the wafer stage measures the intensities of four targets allowing calculation of the Stokes parameters at five image heights along the scanner slit.

Laser sources have now also become a competitive arena, with both Cymer and Gigaphoton fielding 90W ArF lasers with extended gas lifetimes and other features valuable in mass production. Dan Brown of Cymer described their XLR600i, intended for the most challenging immersion applications. With sturdier CaF2 optics and maximum fluence of 1MW/cm2, GLX, and bandwidth stabilization, this ring-amplifier based system is expected to be deployed for double-patterning at 32nm. Because the output beam is larger and has a flatter profile than the XLA series, speckle effects may be reduced — a speculation supported by LWR data presented by Matsuyama of Nikon.

Haruku Mizoguchi of Gigaphoton described their 90W offering, the G62A, at Nikon’s LithoVision meeting the previous Sunday. The GRYCOS gas lifetime extension system claims to allow 40B pulses between exchanges, all with stable power and spectrum. By adopting component re-use procedures, Gigaphoton claims to minimize the cost of excimer operation.

Today’s water immersion optical lithography equipment seems fully capable of producing the 45nm devices contemplated by flash memory producers, MPU makers, and other manufacturers who adopt reasonable geometries. Throughput may be reduced a bit if double patterning is required for a few layers at 32nm, but that shouldn’t be catastrophic. However, something very new may well be needed as soon as the 22nm generation. None of the equipment presented on leap day 2008 will jump to that node. — M.D.L.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.