SEMICON West 2008

JULY 14–18, 2008
MOSCONE CENTER, SAN FRANCISCO, CA

Event hours

Exhibits
Tuesday, July 15–Wednesday, July 16  10:00 a.m.–6:00 p.m.
Thursday, July 17  10:00 a.m.–4:00 p.m.

Conference program runs from Monday, July 14, through Friday, July 18

SEMI, the global industry association serving the semiconductor manufacturing supply chain, is hosting its 38th annual SEMICON West Conference and Exhibition from July 14–18. Attendees will have the chance to learn about the latest developments in nano and microelectronics, packaging/assembly, wafer processing, and more, including special topics such as sustainability and repurposing fabs. For a complete conference schedule, visit www.semi.org.

show highlights

Keynote: “Semiconductor Technology, A Convergence of Technology and Business Models”
Tuesday, July 15, 1:30–2:15 p.m.

Bernie Meyerson, vice president for strategic alliances and CTO, IBM Fellow, IBM Systems and Technology Group, will explore the relationship between silicon technology (scaling, in cost and performance) and the semiconductor business model. This talk will cover the extraordinary measures required to sustain both trajectories and the progress being made.

200mm Productivity Symposium: How to Breathe Life into Old Fabs

Tuesday, July 15, 12:30–5:30 p.m.

Fab repurposing is going on across the globe and spurring additional business and growth opportunities equipment and materials supplies, and their customers. At this SEMICON West Forum, companies up and down the supply chain will show how they are profiting from fab repurposing.

EHS and Sustainability Programs and Events

Energy Conservation in the Semiconductor Industry: Obstacles and Opportunities for Energy Reduction in Equipment and Fabs (Co-Presented by SEMI and ISMI)

Tuesday, July 15, 2:00–5:00 p.m.

Device Scaling TechXPOT Session: Advances in Device Manufacturing: Productivity, Process Control and Sustainability

Wednesday, July 16, 10:30 a.m.–12:30 p.m.

Growing public and corporate interest in sustainability and environmental issues are creating new challenges and opportunities for companies and individuals across the global microelectronics and related industries.

North American Photovoltaic Advanced Manufacturing Technology Conference

Session 1: Thin Film/Equipment
Tuesday, July 15, 2:00–6:00 p.m.

Session 2: Bulk Silicon/PV Manufacturing
Wednesday, July 16, 9:00 a.m.–1:00 p.m.

The Photovoltaic Advanced Manufacturing Technology Conference will focus on the solutions, manufacturing equipment, and technology that the industry is able to provide to wafer, cell, and module makers. Elements of the production process will be highlighted: integrated logistics and automation; quality control and traceability, (advanced) statistical process control, and preventive maintenance.

ITRS Summer Public Conference

Wednesday, July 16, 7:00 a.m.–5:15 p.m.

In addition to the Roadmap working groups’ highlights and key messages of the 2008 Update, the conference will include executive forums for two special topics. The morning breakfast session provides interactive discussions on global energy and how the ITRS can influence outcomes. An afternoon session focuses on the challenges of the industry supply chains.

The 450 mm Transition: When Will It Make Economic Sense for the Semiconductor Industry Ecosystem?

Thursday, July 17, 8:00–9:30 a.m.

Over the past few years, SEMI, through its Equipment Productivity Working Group (EPWG), has been studying the overall cost and benefit of a potential transition to 450-mm wafers. The goal of the study has been to develop transparent, objective analysis tools and data which would help the industry to determine what the potential outcomes a new wafer size transition would bring for the industry and what the right path might be for each individual company. A summary talk on the results of the analysis will be followed by a panel discussion from the team of experts who had a role in developing the model and analysis.

Exhibitor showcase

More than 1,100 leading companies and suppliers connected to the semiconductor manufacturing industry will display their innovations at SEMICON West 2008. Here are a few that will be of interest to the contamination control community.

Asahi/America, Inc.

Click here to enlarge image

Web: www.asahi-america.com
Booth 133

Click here to enlarge image

Asahi/America, Inc. is a manufacturer and distributor of a full line of corrosion-resistant thermoplastic fluid handling products, including valves, actuators, pipe, and fittings. Asahi specializes in providing solutions for fluid handling systems individualized to meet virtually any customer’s need. For more information, contact Asahi/America, Inc., 35 Green St., Malden, MA 02148. Call toll free (877) 24-ASAHI or (781) 321-5409, fax (800) 426-7058, or e-mail [email protected].

Web: www.das-europe.com
Booth 2522

DAS–Dünnschicht Anlagen Systeme GmbH Dresden

Click here to enlarge image

DAS develops, distributes, and services waste gas abatement systems for semiconductor, flat-panel display (FPD), and solar cell manufacturers, customized to process requirements with full customer support. DAS’s state-of-the-art technology, quality, and experience have been incorporated in modern manufacturing facilities in Europe, Asia, and North America. More than 2,000 systems have been installed worldwide thanks to a global network of specialists in China, Hong Kong, Japan, Korea, Malaysia, Singapore, Taiwan, and the United States. The company’s ESCAPE technology is featured in a burn/wet system for point-of-use (POU) abatement of all semiconductor manufacturing waste gases, which are environmentally harmful, toxic, and contaminated. The unit combines incineration, scrubbing, and monitoring in one system. AQUABATE technology is provided via a compact wet scrubber designed to scrub waste gases with water or reactive chemicals. The GIANT, UPTIMUM, and EDC systems provide waste gas abatement for FPD and solar cell manufacturing processes: incineration, scrubbing, and electrostatic dust collection.

Entegris, Inc.

Web: www.entegris.com
Booth 1021

Click here to enlarge image

As a materials science and contamination control expert, Entegris enables its customers to improve productivity, reduce cost, and enhance yield. At SEMICON West, Entegris will demonstrate new innovations in wafer handling, liquid and gas filtration, fluid handling, post-CMP cleaning, and specialty coatings applications. Featured products include Torrento™ 15-nm wet etch and clean liquid filters, Impact® 5-nm photoresist filters, IntelliGen® HV high-viscosity photoresist dispense system, LiquidLens® high-flow ultrapure water purification system for immersion lithography applications, Integra® high-temperature valves, Clarilite Certified reticle haze prevention systems, and 300-mm Prime and 450-mm wafer carrier and shipper products.

Lighthouse Worldwide Solutions

Web: www.golighthouse.com
Booth 6158

Click here to enlarge image

Lighthouse Worldwide Solutions will introduce its newest liquid particle counter, the NanoCount 50, at this year’s SEMICON West tradeshow. The NanoCount 50 is an ultrasmall-footprint liquid particle counter with a sensitivity of 50 nm. The unit measures just 9x 6x 9 inches and includes the sensor, counting electronics, and flow meter all housed in a NEMA rated enclosure. The small footprint permits the instrument to be easily integrated into process equipment for POU semiconductor process applications. Lighthouse Worldwide Solutions has also introduced new products for gas particle monitoring and air particle monitoring applications. The new HPC 1100 high-pressure controller enables sampling of high-pressure gas lines at 1 cfm without wasting extra gas. The 1CFM MiniManifold permits sampling of multiple locations with a single particle counter, offering a tool for cost-effectively partitioning particle problems and monitoring minienvironments.

Mettler-Toledo Thornton

Web: www.mt.com
Booth 421

Click here to enlarge image

The 5000TOC sensor and 770MAX multiparameter analyzer provide fast, continuous measurement of total organic carbon (TOC), enabling online process surveillance in an economical analytical package. TOC can be monitored at critical, pure/ultrapure water treatment stages providing low detection limits in less than 60 seconds, which enables real-time correction of process excursions. The 770MAX transmitter provides the flexibility of interfacing up to two 5000TOC sensors, leaving additional channels available for measuring conductivity, pH, dissolved oxygen, ozone, or flow. Mettler-Toledo Thornton specializes in pure and ultrapure water systems with measurements of resistivity/conductivity, dissolved oxygen, ozone, flow, pressure, pH, ORP, temperature, and TOC.

Setra Systems, Inc.

Web: www.setra.com
Booth 541

Click here to enlarge image

Setra Systems, Inc., a manufacturer of variable capacitance vacuum and pressure transducers, will showcase its Model 730 capacitance diaphragm gauge (CDG) at SEMICON West 2008. Designed for semiconductor applications, absorption chillers, lasers, autoclaves, vacuum packaging, freeze drying, and vacuum distillation applications, the low-cost, compact (1.5×2.1-inch) CDG’s high-frequency bridge circuit design is fully RoHS compliant and yields extremely low noise, while maintaining the fast response time required for today’s critical control applications. The Model 730 provides a 0-to-5 VDC or 0-to-10 VDC output that is linear with pressure and independent of gas composition. A wide dynamic measuring range is ensured through percent of reading accuracy (

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.