MoSi-ing along to 32nm

by M. David Levenson, Editor-in-Chief, Microlithography World

August 18, 2008 – The chrome material that has blocked the light on binary masks for a generation may finally have outlived its usefulness, according to Franklin Kalk, CTO of Toppan Photomasks, in an exclusive interview with SST.

One key to 32nm generation photomask technology is opaque-molybdenum-over-glass (OMOG) material, according to Kalk. The new absorber is actually a purer, highly attenuating version of the MoSi material widely used for attenuating phase-shift masks. However, at 193nm it is very opaque. It is also amorphous (not polycrystalline), low-stress, flatter, and easier to dry etch than chrome — just the properties needed for advanced binary photomasks. In hyper-NA immersion lithography, binary masks have been performing better than attenuated-PSMs in many applications. The OMOG mask substrates (co-developed with Shin-Etsu) do, however, come with a thin semi-transparent chrome overcoat that functions as a hard-mask in the dry etching process, before being removed. The opaque MoSi itself has high enough conductivity to prevent charge build-up in e-beam writing, Kalk reports.

MoSi had previously been considered as a chrome replacement, but the required dry-etch technology had not been sufficiently developed for maskmaking. Wet etched chrome was still adequate then, and the potential of the new material did not seem to outweigh the costs. Today, though, the maskmaking industry has become familiar with MoSi as a semi-transparent doped material for attenuated-PSMs. Dry etching became ubiquitous for Att-PSM production and the deficiencies of chrome became more and more glaring. So now, the time may have come for OMOG.

The other key to 32nm maskmaking is pattern dependent modeling of e-beam exposure, according to Kalk. Such modeling had long been used for laser mask writers, but adjusting the geometrical CD and dose of an e-beam writer — based on historical experience with similar patterns — is new. “The simplest way to do that is to a make a test mask with the layout you like, measure it, and then write a new mask with the inferred corrections,” he told SST, “but that cuts throughput and yield by a factor of two. We have a better way.”

Kalk has long advocated improved modeling to capture seemingly random patterning distortions that actually correlated with writing tool conditions. This now seems to have been accomplished at the joint IBM and Toppan sites in Burlington, VT, and Osaka, Japan. The top-of-the-line 45nm tool set at Burlington was copied in Osaka, where the process development for 32nm took place. Now the process is being integrated at IBM Burlington, where Toppan hopes it will form the basis for future collaborations. Already, the Toppan-IBM program is looking ahead to 22nm optical masks, which will need placement accuracy sufficient for double patterning. With the new material and modeling, the always optimistic Kalk anticipates success. — M.D.L.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.