Slowly but surely, EUV moves toward reality

by Katherine Derbyshire, contributing editor, Solid State Technology

Oct. 2, 2008 – Extreme ultraviolet (EUV) lithography is still a long way from manufacturing. According to Ron Kool, ASML’s VP for EUV, it won’t reach mass production until 2012 at the earliest, with the 22nm technology generation the most likely insertion point. Still, the end of the beginning came this summer, with the announcement that IMEC had completed acceptance tests of an ASML alpha-generation EUV system.

Though EUV microsteppers have existed for several years, an operating full-field tool gives researchers a much more comprehensive look at all aspects of EUV lithography. Resist and mask developers now know approximately what the capabilities of the technology platform will be, and what their products must deliver.

This milestone comes after a decade of EUV development, and at the end of a prolonged installation and acceptance process: the components of IMEC’s system were delivered in 2006. In a recent interview, Kurt Ronse, program IMEC’s director for advanced lithography, explained the exposure source technology has been one of the major obstacles to installation of a working tool. ASML initially planned to use a xenon discharge plasma source, but it became clear that xenon could not produce enough EUV radiation to support the 100-150 wafers/hr throughput that production lithography requires. The source installed at IMEC uses a tin-based discharge plasma instead. In the last few years, laser-produced plasma source technology has been advancing more rapidly, and now appears to have caught up with discharge plasma performance. Ronse said that it’s still an open question as to which technology will ultimately prevail.

The lifetime of the exposure optics is closely related to the source technology. EUV depends on reflective optics; debris produced by the source can damage optical surfaces, reducing reflectivity and ultimately degrading image quality and brightness. Damage to the collector element is especially likely — while a laser is a point source, a plasma source emits radiation in all directions. The collector optic surrounds the plasma, capturing as much radiation as possible and focusing it into the optical path, but also absorbing any source debris. Optical lifetime was one of the IMEC’s leading pre-acceptance issues, Ronse said, and remains an important concern for the alpha system.


Optical path of ASML’s production EUV system. (Source: ASML)

While acceptance of an alpha tool is an important milestone, it simply allows further process development. Resists in particular have emerged as a major obstacle to EUV lithography, and to the proposed 2012 production insertion point. Though SEMATECH/Albany has printed 22nm lines using an EUV microstepper, linewidth roughness was a very substantial 5-6nm, far worse than the 2nm tolerance needed for 22nm production. The difficulty, according to Ronse, is that an EUV resist must meet three conflicting specifications simultaneously. Because EUV sources supply relatively weak illumination, a chemically amplified resist is needed to achieve sufficient photospeed. Yet chemically amplified resists suffer from line roughness due to the random distribution of photoacid generators in the resist. Slower photoacid diffusion would improve feature quality, but reduce sensitivity. To meet sensitivity, roughness, and resolution specifications simultaneously, Ronse said, “resists have a long way to go.”

On the positive side, Ronse said, early results from mask suppliers have been quite encouraging. Mask blank quality remains an issue, but IMEC reported that five different mask suppliers all achieved consistent results.

In light of recent advances, Kool said, ASML has concluded that EUV is the most likely successor to 193nm imaging. Accordingly, the company is investing in manufacturing space and developing a production exposure platform. Already, two important challenges have emerged. The first is cleanliness. In an EUV system, the entire optical system is evacuated: particles and gas molecules in the column would absorb EUV radiation. As a result, both ASML and its component suppliers must follow clean-for-vacuum protocols.

Second, the infrastructure for volume production of optical components does not yet exist. Though ASML is confident in the quality of lenses from Zeiss, the company’s optic supplier, it is not clear when EUV optics will be available in large quantities.

Three years out from manufacturing, uncertainty still surrounds much of the EUV infrastructure. Will optics be available? Will resists and sources be good enough? Still, at some point the only way to answer such questions is to take the risk and make the investment. For ASML, the time has come. — K.D.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.