45nm node registration metrology for EUV reticles

Klaus-Dieter Röth, Dieter Adam, Dr. Karl-Heinrich Schmidt, Frank Laske, Dr. Slawomir Czerkas; Vistec Semiconductor Systems GmbH

Tighter registration tolerances for the 45nm node and beyond require a next-generation registration metrology tool with capability to measure EUV masks with diverse substrate materials that might be used in 32nm and 22nm chip production.

The ITRS 2006 roadmap requires the overlay specification for DRAM reticles at half-pitch 32nm to be 3.4nm; and for the 22nm node it will be 2.4nm, 3σ (including multipoint compensation). Manufacturing such fine-pitch patterns will be difficult for optical methods — even with double patterning — and so EUV lithography might become the solution for 32nm- and 22nm-node volume manufacturing to overcome the resolution limits of optical lithography. However, EUV has its own challenges.

Since the EUV exposure process will use an incident angle larger than zero, the off-axis optics of the EUV stepper will cause pattern shift and CD variation from shadowing (Fig. 1). Therefore, pattern placement and critical dimension of EUV reticles need to be tightly controlled.


Fig. 1: Pattern shift and CD variations induced by non-perpendicular illumination as it is intended for EUV steppers.

Thermal behavior of the mask will be especially critical for overlay error performance, since the substrate absorbs heat from EUV exposure in the stepper. That makes the sensitivity of the mask to any temperature changes during the exposure process critical. To reduce thermal expansion, a new type of low thermal expansion material (LTEM) has been developed. This material replaces the original fused-quartz substrate for EUV masks, and improves the exposure process. Current production masks use fused silica — which has an expansion coefficient of around 500ppb/K, like the substrate. The new material comes with a temperature sensitivity that is about two orders lower than its predecessor at approximately 5ppb/K.

Registration tool evaluation

In this experiment, both types of substrates are used for measurement performance evaluation. Each mask is covered with a Mo/Si multilayer with 40 bi-layers, which in turn is covered with a 10nm CrN buffer layer. The top layer consists of a low reflectivity TaBN absorber material with a thickness of 70-90nm and is patterned.

Our investigations were performed using the standard 3-point reticle support of the LMS IPRO4 because of the known deficiencies of measurement results for image placement accuracy when using available electrostatic and vacuum chucks. [1,2]

Measurement perfomance

The standard Vistec performance test was used to derive the measurement performance on both EUV masks. On a 9×9 grid of measurement sites over a 100×28mm field, the data was obtained on several days and in four different mask orientations. On both EUV masks, an isolated cross with 10μm CD served as measurement feature. The measurement time needed for 10 repetitions was ~2.25hrs. For the evaluation of the dynamic short-term repeatability, 20 measurement repetitions of the 9×9 grid were acquired without unloading the mask from the stage. Subsequently, the mask was rotated and measured in 90°, 180° and 270° orientation, with 10 repetitions in each orientation. Finally, the mask was rotated back to 0° and an additional 10 repetitions were taken and the data compared to 10 loops of the short term data. Finally, 10 repetitions were taken on both masks on each of the following eight days to verify the average short-term performance on each mask.

Placement measurement: short-term repeatability

Short-term repeatability for placement measurement was derived from 20 measurement repetitions on each mask. The data sets were aligned by using a multipoint-alignment function. After alignment, the remaining translation and rotational errors in the grid are 0. Afterwards, the standard deviation (3σ) value was calculated for each single site. The maximum of these 3σ values represents the measurement performance for short-term repeatability according to the standard metrology evaluation method.The short-term repeatability for both EUV masks obtained was better than 0.9nm on either EUV mask type, quartz or LTEM. On average, the system performs at 3σ < 0.6nm, based on results from all 81 measurement sites.

The next step was to investigate whether the measurement performance was the same on both types of EUV mask. Therefore, data was obtained on eight additional consecutive days, with 10 repetitions per day.


Fig. 2: Short-term repeatability performance data for 10 days based on 10 measurement sequences each day.

The box-plots in Fig. 2 provide the results of short-term repeatability measurements done for a total of 10 days, including the measurements on two days for long-term repeatability evaluation. The box contains 50% of the data. The black line in the box marks the median of this distribution. This median provides a value which is independent from any individual performance flyers and thus should provide reliable information on general performance capability. At the top of the figure, the mean values were plotted, whereas at the bottom the maximum values out of the 81 measurement sites is shown. The data does not show any significant difference between quartz and LTEM based EUV masks.

However, a significant performance difference can be seen when comparing X- versus Y-direction measurement results. This deviation is still subject to further investigations by Vistec’s R&D team and may be related to the current design of the laser interferometer control system of the LMS IPRO4 stage.

Placement measurement: long-term repeatability

The registration measurement performance — including unloading and reloading — was evaluated to determine the systematic error contribution induced by the loading procedure. The evaluation of long-term repeatability performance is based on two data packages including 10 repetitions each, derived on two different days. Since systematic error contributions are expected, the standard 3σ calculation must not be applied as the data does not exactly follow a Gaussian distribution. Therefore, the “99.73% confidence limit” evaluation is used, including the range of the means and the individual 3σ values of each data package. This evaluation would be equivalent to the 3σ value if the distribution were Gaussian.

Table 1 summarizes the data for long-term repeatability. The performance is always better than 1.0nm on each EUV mask type. The mean 3σ long-term performance overall sites is even better at 0.65nm. Measurements on quartz and LTEM EUV masks do not show any significant difference.


Table 1: Long-term repeatability performance values for both types of EUV masks.

Placement measurement: Nominal accuracy performance

The nominal accuracy performance is summarized in Table 2. It includes data obtained in all four orientations. As expected, the measurement data of each orientation show a random distribution, and a systematic error contribution can be observed when comparing the data of different orientations. Therefore, the specific evaluation of nominal accuracy is performed in a similar way as the evaluation of the long term repeatability. The measurement results of each orientation are grouped, and the range of the means of all orientations is calculated as well as the individual 3σ distributions per orientation. This calculation is performed for each measurement site individually, as were the previous evaluations.

The performance on the EUV mask is better than 1.6nm and thus much better than the specification for nominal accuracy of 2.2nm. Indeed, as reported earlier [3], the performance is very similar to the accuracy performance observed on the beta site system on standard quartz reticles (1.64nm) when the appropriate Young’s modulus for each of the individual mask types is applied to correct for the different sagging behavior of the two substrate materials. Applying the standard Young’s modulus for quartz to LTEM would result in a systematic measurement error degrading the performance by approximately 30%.

It’s important to emphasize that the nominal accuracy performance achieved is very similar on both types of substrates, LTEM and quartz respectively.


Table 2: Nominal accuracy performance values (measured in 4 orientations) for both types of EUV masks.

Comparison of measurement performance of EUV reticles vs. COG reticles

Since EUV masks and COG masks show different optical behavior, it was not possible to predict whether the measurement performance results on COG masks could also be achieved on EUV reticles. In Table 3, the measurement results as obtained during this investigation on EUV masks are compared to the results achieved on the Vistec COG test mask during standard acceptance test on the beta site system as reported recently[3]. The measurement performance data achieved on the EUV mask on the Vistec demo system is very similar to the data achieved on the COG mask on the beta site machine during on-site acceptance test.


Table 3: Comparison of performance values obtained on EUV masks vs. performance data obtained on a standard 6025 COG Vistec test plate. (Data were achieved on two different LMS IPRO4 systems)

Besides the evaluations being made on two different systems, the measurement procedures are not 100% identical. The Vistec test mask provides the opportunity to measure a 15×15 array of test structures covering 140×140mm, whereas there were only 81 suitable structures available on the EUV reticles on a 100×128mm area. Therefore, the measurement time was ~3× longer on the COG mask, and any potential measurement-time-related uncertainty might have a stronger impact on the COG data. All other measurement parameters, including the data evaluation procedure, were identical.

Summary

The measurement performance on typical EUV test masks (LTEM and fused quartz substrates) is the same as the standard measurement performance on COG masks. This performance — ~1.5nm nominal accuracy — was achieved using the standard 3-point support. The LTEM substrate-based EUV mask did not require any soaking time on the stage for temperature equilibration after loading from the SMIF pod into the temperature controlled chamber.

Since the total pattern placement metrology budget for the 22nm node is expected to be approximately 25% of the overall mask specification of 2.4nm(3σ), a placement measurement performance of 0.6nm would be required for the critical masks at the 22nm node. The LMS IPRO4, however, cannot yet achieve this performance. Therefore, further significant improvements are required before reticle production for the 22nm node can begin.


Acknowledgments

The authors wish to thank Hiroshi Kinoshita, Naoki Nishida, and Daisuke Kenmochi from Hoya Corp., Tokyo, and Hitoshi Ota and Yukitake Tanioka from Dainippon Screen Mfg. Co. Ltd., Tokyo, for their contribution and helpful support.

References:

[1] S. Yoshitake, S. Tamamushi, T. Takigawa, S. Mitsui, M. Ogasawara, J. Butschke, M. Irmscher, M. Ferber, D. Adam, K.-D. Röth, 2005 International Symposium on EUVL
[2] S. Yoshitake, H. Sunaoshi, S. Tamamushi, S. Mitsui, M. Ogasawara, T. Yamada, T. Shoki, J. Butschke, M. Irmscher, M. Ferber, J. Bender, D. Adam, K.-D. Röth, The study for image placement repeatability of EUV mask on the flat chuck, EUVL Symposium 2006
[3] Klaus-Dieter Roeth, Gunter Antesberger, Christian Enkrich, Frank Laske, Dieter Adam, Registration Metrology for 45nm Node Reticles, SEMI Technology Seminar 2007, Makuhari, Japan

Author biographies:

Klaus-Dieter Röth is senior product manager of mask metrology at Vistec Semiconductor Systems GmbH. He holds a Dr. rer. Nat., Dipl. Phys. from University GieΒen.
Contact: Kubacher Weg 4, D-35781 Weilburg/Germany; Tel +49 6471 910 2673; e-mail: [email protected]

Dieter Adam is manager of R&D mask metrology at Vistec Semiconductor Systems GmbH. He holds Dipl. Ing. and Graduate Engineer degrees from the Technical University Dresden.

Dr. Karl-Heinrich Schmidt is Application Engineer and Software Engineer at Vistec Semiconductor Systems GmbH. He holds a Dr. Ing. from the Comprehensive University, Siegen.

Frank Laske is senior applications engineer for mask metrology at Vistec Semiconductor Systems GmbH. Previously he was process engineer for mask metrology, AMTC Dresden.

Dr. Slawomir Czerkas is a R&D mask metrology system engineer at Vistec Semiconductor Systems GmbH. He holds a Ph.D from Bielefeld University in Experimental Physics (Spintronics) and a MSc in Technical Physics from Poznan University of Technology, Poland.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.