Fabs fight the neverending war on contamination

In the wafer fab, no matter how hard you fight, there are some battles you’ll never win. The best you can do is stave off your enemy–molecular contamination–for as long as possible.

By Sarah Fister Gale

Click here to enlarge image

Since the beginning of wafer manufacturing, cleanroom operators have waged war on contaminants, relying on a growing collection of filters, monitors, and enclosed environments to prevent particles from crushing their yields. But for every step forward they take in contamination control, the industry takes two steps back as shrinking geometries make delicate materials and processing steps ever more susceptible to even smaller contaminants in the environment.

In today’s fab, once harmless molecular contaminants can now damage surfaces and interact with energy, moisture, and other chemicals in the environment to create hazes on optics, attach to wafers, and even contaminate the minienvironments that were designed to protect them.

“It’s an evolving field,” admits Mark Camenzind, senior technical advisor in the Fremont, CA-based Balazs Analytical Services office of Air Liquide Electronics, an international industrial group specializing in semiconductor, industrial, and medical gases, chemicals, equipment, and related services. “As airborne molecular contamination (AMC) gets better controlled and analyzed, we’ve come to realize that it is hard to control completely. It’s like peeling an onion. Underneath every layer is another layer, and something else for us to learn.”

Unfortunately, while advances in manufacturing are allowing fab manufacturers to shrink their technology and produce more complex components at the micro scale, the tools used to battle contaminants in these manufacturing environments are not evolving as quickly.

“A complete and universal fix for AMC is still elusive,” says Steven Rowley, molecular contamination product line manager for Particle Measuring Systems, a manufacturer of air, gas, and liquid particle counters in Boulder, CO, “but companies are taking a more serious look at molecular contamination monitoring and control strategies to mitigate risks.”

Jitze Stienstra, director of product marketing in the San Diego, CA office of Entegris, whose contamination control solutions business unit specializes in these issues, agrees. “Contamination control is becoming increasingly important as decreases in line size mean more processes are affected,” he says.

Stienstra believes a multi-tiered approach to “total contamination control” offers the best solution. The combination of pre-filters for clean ambient air, chemical filters at the tool level, and point-of-use purifiers for purge gases in highly sensitive enclosed environments that cannot come in contact with ambient air, leads to the most robust system. “It starts with the fab ambient, then it goes to the tool level and the micro level,” he says.

Fab operators are also relying more heavily on real-time monitoring to get a more accurate sense of what, where, and when contamination is creating problems in the fab, particularly around photolithography tools.

“Every solution has to be customized to the needs of the fab so that operators can manage contamination and solve problems in an affordable environment,” Stienstra says.

Photolithography blazes a trail

The wafer manufacturing industry is quickly reaching the point where ambient air, even filtered ambient air, cannot be controlled enough to prevent yield loss in the cleanroom environment, so people are going more to cluster tools, enclosed environments, front-opening unified pod (FOUPs), and purge gases to avoid AMC exposure altogether. “Purge gas can be made very clean through purification, which means you don’t have to test it as often, vs. ambient air, which changes hourly and ideally would be constantly monitored,” says Camenzind. However, continuous monitoring at the low levels required by the International Technology Roadmap for Semiconductors (ITRS) is not always available, e.g., for sulfur oxides at pptv levels.

Acidic gas contamination from organic acids such as sulfonic acids can create particularly costly contamination problems if not controlled in the fab because of their reactivity with a number of other chemical species, says Rowley. This reactivity often occurs on surfaces that are very sensitive to contamination, including optical components within photolithography steppers and scanners. “In close proximity to the reduction lens’ final optical element, these species can play a significant role in the formation of films that can potentially adhere to and contaminate optical surfaces,” he says. “Not only can this contamination cause drifting of critical process parameters due to lens contamination and optical hazing, but also terrific costs may eventually be incurred in order to replace contaminated optics in steppers and scanners.”

Acidic gas contamination can also have detrimental effects on cleanroom materials, which may indirectly affect product surfaces, such as filters, sealants, epoxies, and plastics used in tools and components. For example, small amounts of acidic gases in the air, specifically hydrogen fluoride (HF), can cause HEPA filters to accelerate the release of boron.

Nowhere are these problems more of an issue than around the highly sensitive and extremely expensive photolithography tools, which are getting the most attention from contamination control experts because the optics cost millions of dollars to replace or repair and can lead to significant tool downtime and production loss, since the lithography tools are often rate limiting. For these surfaces, the AMC can become surface molecular contamination (SMC) when it either absorbs directly or reacts with light or other molecules to deposit on lenses, leading to optical problems not easily solved.

“In 300-mm fabs, most lithography tools have tracks connected to steppers with an enclosed FOUP-in and FOUP-out,” says Camenzind. “There is no direct cleanroom air exposure anywhere in the sequence,” and wafers only see air that is well purified by AMC filters.

Even so, molecular-scale particles and chemicals in purge gases or scrubbed ambient air can still allow for some surface contamination.

“SMC is a big issues for optics, steppers, lasers, and masks,” says Camenzind, who notes that even trace volatile contaminants, which normally wouldn’t stick to surfaces, can decompose, leaving a residue that ultimately causes hazing. Adding to the risk is the fact that many volatile compounds don’t get trapped in typical absorbents so it’s difficult to accurately assess their levels.

And as the tools utilize light at shorter wavelengths to accommodate smaller feature sizes they become more susceptible to contaminants from ambient air. “It only gets worse with extreme UV,” Camenzind says. “Previous wavelengths couldn’t break down these compounds, but in the future, they will break down everything and masks will have to be used in a vacuum.”

Issues that become more severe at smaller wavelengths include organic compounds reacting with light; refractory compounds leaving residues; and O2 and H2O absorbing light and assisting in haze formation on optics, which decrease imaging performance and lead to expensive repairs or even warranty invalidation.

“There is more concern about refractory compounds causing lens hazing then ever before,” notes Luke Lovejoy, manager of the analytic chemical laboratory in the Austin, TX office of Freescale Semiconductor, a provider of embedded processing and connectivity products to the automotive, networking, and wireless communications industries; and a member of the wafer environment contamination control subgroup of the Yield Enhancement Group of the ITRS.

Steppers generally have point-of-use chemical filters to scrub the ambient air before it enters the tools, but Lovejoy points out that they are not always enough. Many tools also blow purge gases such as high-purity nitrogen and compressed air, which are unlikely to have refractory compounds in them, across lenses with a slight vacuum to prevent contaminants from coming in contact with lens surfaces. This limits the risk of contaminants getting into the critical areas, but even in those scenarios, says Lovejoy, you can get some gas diffusion.

Purge gases are a popular choice because they are easier to control than ambient air, but they are themselves a potential source of contamination, including volatile and semi-volatile organics, volatile acids and bases, and refractory silicon and sulfur compounds.

“Every year is a new learning experience and there is a lot we still don’t know about hazing,” says Lovejoy. “People are working on developing tools to assess these compounds, but it could take years.”

Camenzind also points out that filtered air is still far more economical when it works, and it remains a viable choice for non-critical areas of the fab, such as pre-etching steps when wafers are less sensitive to contaminants. “You are stripping that layer off anyway,” he says.

Manufacturers drive change

Tool makers have had the most profound recent impact on the industry’s ability to understand, manage, and mitigate contamination thanks to stricter warranty requirements for contamination control. In order to maintain full warranty coverage for steppers, manufacturers require tool owners to meet explicit contamination specifications and validate that those requirements have been met.

“Most tool manufacturers require AMC at certain levels to meet warranty requirements,” Lovejoy says. “They also demand that operators use their accredited labs to measure levels or be able to prove that their internal labs are meeting warranty requirements.”

Meeting those requirements isn’t easy. It takes rigorous monitoring and stringent control methods, but it’s worth it because replacing these optics runs in the millions and even tens of millions of dollars–and neither the vendor nor the operator wants to take on that out-of-pocket cost.

Not only is there the hard cost of the lens, which can fall to the fab operators if they can’t prove they adhered to warranty requirements, but also the huge impact on production due to downtime for lens replacement and the challenges of removing the lens, which can weigh a ton and require a crane to move.

“The warranty requirements are driving the industry to do a better job,” says Particle Measuring Systems’ Rowley. “It’s forcing the industry to understand the impact of molecular contamination and value of contamination control strategies.”

Lovejoy agrees. “It’s helping to quantify the return on investment for the photolithography people,” he says.

It also may act as a lesson for other areas of the fab that may not currently be so susceptible to contamination risks, but that may be facing greater sensitivity in the future as shrinking geometries make other process steps more fragile.

“Photolithography has driven a lot of change and there are lessons to be learned from what they’ve gone through,” Lovejoy says.

“We see more and more process steps requiring additional contamination control steps,” adds Stienstra of Entegris, who predicts that optic metrology steps will be the next big contamination risk area, particularly below 65 nm. “We expect the number of contaminants that cause problems to increase, and the limits to decrease.”

Don’t be FOUPed

When materials aren’t in processing, FOUPs, pods, and reticle boxes are being used more commonly as a way to prevent delicate wafers and masks from coming in contact with contaminants in ambient air, but they too run the risk of creating new contamination issues through outgassing of the enclosure and carryover from past processes, which must be carefully controlled.

Camenzind points out that everything in the fab, from the materials and lubricants used on tools and containers to the process steps themselves, has the potential to create contamination that can impact yield.

“Whenever you find a solution you have to be sure the cure is not worse than the disease,” he says.

“Reticles and wafers can still be affected by molecular contamination during processing and storage,” agrees Jürgen Lobert, director of Entegris’s Analytical Services in Franklin, MA. “It’s not just happening in the tools. You have to look at everything.”

Stienstra adds that reticles are a bigger contamination concern than wafers because of the high cost of cleaning and/or replacing them. “To prevent reticle haze, reticles must continuously reside in an extremely clean and dry environment from the moment they arrive at the production fab.”

In the past year, he says, more emphasis has been placed on identifying the contaminants that cause reticle haze and how to prevent it. “There are 30 different species of molecules that cause haze, and they are all enabled by moisture,” says Stienstra.

Click here to enlarge image

He notes that Entegris currently offers a product line designed to prevent reticle haze in 193-nm lithography (see Fig. 1). The solution provides a continuous cleansing environment for the reticle, preventing the formation of haze by controlling and purifying the environment around the reticle between uses. The central element in the system is the integrated purifier and purge capability in the pod. The pod is purged in the stocker and again near the scanner where the reticle will be used.

Stienstra estimates that fabs can realize a four- to five-fold increase in mean time between reticle cleanings by using this system.

“Reticle haze is a multimillion dollar problem,” he says. “We find that fabs see a return on investment in a matter of months.”

The FOUPs also create problems because they can absorb airborne molecular contaminants, such as bromide from wafers, and outgas them onto other wafers. There is also the risk that wafers can contaminate slots in the FOUP. For example, copper contamination on the edges of wafers can carry over to the slots of the FOUP, contaminating the next wafer to be placed in that slot, says Camenzind, who believes the industry needs to embrace more stringent testing of the cleanliness of FOUPs to prevent cross-contamination. This is a new key focus for the ITRS.

Unfortunately, the industry hasn’t come up with the perfect solution and is still looking for tools to better quantify AMC in the FOUPs. “We are looking for abatement methods to measure, monitor, and purge the FOUP before issues arise,” Freescale’s Lovejoy says. “But it’s a challenge.”

Currently Lovejoy, and most other fab operators, must measure outgassing from FOUPs in a lab and wait hours or even days for results. “I want an analytical tool that is sensitive enough to measure the FOUP in real time,” he says, noting that several vendors are currently working on such solutions.

He also notes that operators and vendors need to do a better job of assessing the materials FOUPs are made of–from evaluating their potential offgassing and choosing materials that won’t absorb chemicals to selecting products that are easy to clean.

Go with the flow

Beside the enclosed environments, fab operators must also keep a close eye on their overall cleanroom environment and pay close attention to airflow, says Keith Kibbee, a mechanical engineer in the Portland, OR office of CH2M HILL, a full-service engineering, construction, and operations firm. Kibbee, who does 3D airflow modeling for cleanroom clients, notes that changes in the cleanroom (such as the addition of a new piece of equipment) can throw off the airflow, causing blockages or ripple effects, impacting fan filter unit airflow rates, and limiting optimal recirculation of air.

“In cleanroom remodels, I see a lot of bigger toolsets coming into the chase return and the expansion of clean space, which can create pressure problems and airflow migration,” he says.

Kibbee helps clients map out the impact of new tools or room changes using 3D modeling to identify airflow problems before they arise or to assess the effectiveness of possible solutions before they are implemented.

“You have to tweak the room to control negative factors, and the nuances are minute,” he says. “I can run 10 different cases to see which is the best fit. That would cost a lot more time and money to do it real time.”

One eye open

No matter what solution, or combination of solutions a fab uses to prevent, control, or mitigate contaminants, it all hinges on monitoring. And as smaller and lower levels of contaminants pose bigger risks, real-time online monitoring is becoming the norm–at least around critical processing and handling steps.

“You have to monitor something to know it’s there,” says Particle Measuring Systems’ Rowley, who predicts an ongoing shift away from periodic sampling to real-time high sensitivity monitoring in critical areas of the fab. “Once you monitor, you can correlate the data to your process yield, warranty requirements, the cost of downtime, and maintenance costs. If companies can get a handle on that data, they can see the value of monitoring.”

Some techniques available for monitoring molecular contamination in the air and on surfaces include ion mobility spectrometry (IMS), surface acoustic wave (SAW) monitors, impingers, and sorbent tubes. Particle Measuring Systems offers an extremely sensitive, part-per-trillion, real-time monitor that uses IMS technology to measure AMC before it can react with other compounds and accumulate on critical surfaces such as optics and reticles (see Fig. 2).


Figure 2. The AirSentry II surface molecular contamination monitor uses ion mobility spectrometry to measure contamination at ppt levels in real time. Photo courtesy of Particle Measuring Systems.
Click here to enlarge image

“Because AMC and SMC can fluctuate wildly throughout the day or manufacturing cycle, relying on periodic sampling may not deliver the most accurate information,” says Rowley. “Without real-time data, it is not possible to understand if AMC or SMC levels observed in the air or on optics, reticles, and wafers represent stable background conditions, a contamination event, or a low or high phase of a daily contamination cycle.”

Balazs’ Camenzind agrees that the trend is toward more routine online monitoring, particularly for ambient air which varies from day to day. However, he notes that in critical areas today’s online monitors may not be sensitive enough to give accurate readings at pptv levels.

“SO2 online monitoring works for makeup air, but after purifiers levels are required to be much lower, and online instruments do not have adequate sensitivity, so you still also need to do ‘grab sampling’ to get the lowest detection limits needed by the ITRS and stepper manufacturers,” he says. “Steppers, masks, and lasers all have similar and severe purity requirements in the sub-parts-per-billion to parts-per-trillion by volume range.”

He also urges fab operators to conduct routine air analysis for urea, a base that can contribute to photoresist problems, in addition to the usual suspects such as ammonia, amines, and amides.

“The only way to identify which contaminants will impact processes is with state-of-the-art measurement and sampling technology,” agrees Entegris’s Lobert. “Today’s applications require consistency and repeatability over time in the parts-per-trillion concentration range.”

Finding balance

“It’s a competitive advantage for companies to know how to manage and handle molecular contamination in the cleanroom,” says Rowley, who suggests that the industry has not gone as far as it should. “Most companies hold their AMC monitoring and control techniques and strategies close to the vest, because those who do it well gain critical tenths of a percent to a few percent gains in yield–which make huge differences in such a competitive industry.”

“In the end, reaching the extreme of no contamination whatsoever doesn’t make financial sense,” says Camenzind. “The most practical contamination control engineering is figuring out what the reasonable limit for each step is based on the process, then maintaining levels below that limit and monitoring to ensure you achieve it.”

Click here to enlarge image

The trick is figuring out exactly what those levels are and developing the tools to monitor and mitigate to these levels to ensure the environment is protected.

“It all boils down to yield and dollars,” says Rowley. “Most companies don’t have a good handle on the cost of poor contamination control and they may not know how much they are spending.” He explains, “Considering the costs associated with outfitting cleanrooms and lithography tools with chemical filtration and purge gases, costs of 193-nm lithography equipment downtime, as well as the additional costs embedded in warranty and service contracts, outfitting a cleanroom and 193-nm lithography tools with a complete AMC monitoring solution is minor in comparison.”

But as geometries continue to shrink, fab operators need to get a quantifiable measure of what contaminants impact their processes and how much that impact costs. Only then can they make an accurate assessment of the costs and benefits of contamination control decisions.

“Contamination is not going to be 100 percent controlled in our lifetime,” says Camenzind. “We’ve learned a lot about contamination control, but we still have a long way to go. Working together in interdisciplinary, multifunctional groups like the ITRS is essential to making the most progress in these areas, to keep the industry on track with Moore’s Law and new technologies.”

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.