CMP consumables market reaches middle age

by Michael A. Fury, Robert L. Rhoades, Steven P. Holland, Techcet Group


Executive overview

CMP continues to play an increasingly vital role in enabling new transistor and isolation structures, often with new materials not previously used in semiconductor manufacturing, and in enabling the increase in the number of interconnect layers from single to double digits. It can safely be said that this industry darling sector during the 1990s and early 2000s has now blossomed to maturity, with a stable supplier base and growth rates that look more like the rest of its peer materials supply chains.


The semiconductor technology migration to copper interconnects continues to drive the remaining extraordinary growth of the CMP consumables market, both in terms of implementation of copper in new 300mm fabs and a now-slowing increase in the number of copper layers per chip. Tungsten and interlayer dielectric (ILD) remain steady due to the large installed base of manufacturing above the 65nm node.

Copper interconnects is also the CMP area that is most actively evolving. As device technology is pushed toward smaller geometries, new materials come into play that create a need for better CMP and associated cleaning technology. Although it appears there is no need to replace copper through the 32nm node, barrier materials and ILD materials will change to further enhance conductivity and minimize parasitic capacitance. The need for these newer materials also should be factored into the post-CMP cleaning market to ensure the integrity of the films is not compromised by the cleaning steps.

Consumables

For the first time since the implementation of CMP in the 1990s, we are seeing a decline in CMP consumable revenues. Exacerbated by the economic downturn, this is due to the convergence of a number of factors. Having been highlighted as one of the most expensive process in the fab for several years, the attention placed on CMP has resulted in pricing pressure on suppliers as well as cost management pressure on the fab engineers. There will be additional cost pressure on CMP consumable prices as Cu CMP is implemented more broadly beyond logic.

Memory fabs have more severe pricing pressures than logic, and so tend to be more receptive to testing and qualifying new products from small startup suppliers. DRAM is moving to Cu at 55nm; flash is moving to Cu at 45nm or 32nm. The CMP staff inside fabs will likely continue to expand with the addition of new levels and new materials; the current outlook is to continue adding 1-2 more CMP levels for each node.

Already, slurries are diluted prior to use, pad life is extended by more prudent use of pad conditioning, and process performance is no longer the dominant trump card in determining fab suppliers. Fabs are becoming more willing to trade some performance in return for consumable cost savings. Memory will continue to drive the market for W and ILD CMP, but continues its migration to copper, in which the two old CMP steps are replaced by two new copper steps, bulk and barrier. But shrinking geometries require less total metallization thickness, resulting in a reduction in total polishing time and hence slurry consumption.

There is clear evidence of market expansion in Asia, especially with China coming on line, and stability or contraction elsewhere. In particular, Samsung and its local suppliers have become a market force to be reckoned with, with all due respect to Intel’s global influence. Local suppliers in China, Korea and yes, still in Japan, remain viable threats to the market shares held by US and European suppliers.

High-k metal gate applications

High-k metal gates represent a significant market expansion opportunity, but this market carries with it a significant R&D investment obligation. These processes will have returns more comparable to STI and other single pass applications, much smaller than the W and Cu interconnect opportunities. Aside from these new applications, CMP market growth is now expected to track semiconductor growth overall more closely than it has in the past, as the process application field is approaching CMP saturation [1].

Slurry business environment

In its June 2008 report, Techcet estimates the total available slurry market in 2008 at $1.03B-$1.10B, and that it will rise by 2011 to as high as $1.34B. The upside forecast assumes no slurry price erosion and that the average number of copper levels will increase from 10 to 11 at the 32nm node. However, we anticipate an increase in pricing pressure, particularly on copper slurries as their volume grows in production. This would have resulted in a worst-case scenario in which slurry revenues remain relatively flat now through 2011 even without the current recession.

A worst case convergence of slurry dilution at point of use, significant price erosion in copper slurries, and the average number of copper levels holding steady at 10 layers at the 32nm node would contribute to our CMP slurry forecast wherein revenues dip further in 2009 to $820M to $915M, and don’t recover to 2007 levels until 2013. Slurry volumes will recover during this period, regardless of pricing dynamics, with an estimated CAGR of 19% over the period 2008-2011.

There are still over 30 players in the slurry market, but this number has been stable over the past several years and is no longer growing. Slurries provide a more level playing field than the CMP pad segment. This is due in part to the high level of market segmentation in CMP slurries that provides more niches in which suppliers can compete with adequate success to justify their business. Margins are down, due in part to the relatively high need to customize slurry for different polishing applications compared to the ability of one pad to be used for several different applications. Slurry dilution at point of use is another factor in margin erosion.

The ILD segment (Figure 1) is still dominated by the two traditional leaders: Cabot Microelectronics (CCMP) with its legacy SS-12 and SS-25 fumed silica products, and Rohm & Haas Electronic Materials (RHEM) with its Klebosol colloidal (precipitated) silica products. The ‘Other’ category in the pie chart includes ATMI, Anji, Wacker and Bayer. Some of this silica slurry is used for non-selective STI processes, but data is not available to segregate this usage from ILD.


Figure 1. ILD and non-selective STI slurry market share.

In selective STI (i.e. ceria slurry) Hitachi is the clear leader that other competitors focus on, with ~76% of the market (Figure 2). The ‘Other’ segment includes DA Nano, Ferro and Asahi Glass. CCMP and RHEM, market leaders in other CMP consumables sectors, have only a small presence in the selective STI market. The semiconductor grade ceria starting material is expensive, thus selective STI slurries run from $30 to >$60/gal. Market data for estimating the percentage of wafers processed with fixed abrasives, and its impact on the STI slurry market, is not available and is not considered in this market share estimate.


Figure 2. Selective STI slurry market share.

In the tungsten slurry segment, CCMP still remains the market leader with an estimated 78% share, and is the primary competitive focus of the few other players remaining in this market (Figure 3). W slurry typically costs $20-$30/gal depending on abrasive type, slurry version, and customer volume use.


Figure 3. Tungsten slurry market share.

The Cu step 1 slurry segment (Figure 4a) is a relatively level playing field, with no single dominant player. Significant shares are held by Fujimi, DA Nano, RHEM (actually Eternal, which has since been acquired by Cabot Micro), Hitachi, CCMP and JSR. In the Cu barrier slurry segment (Figure 4b) Planar Solutions has established a beachhead with a 41% share. Other significant players include JSR, RHEM, Hitachi and DA Nano.


Figure 4. Slurry market share for (top) copper step 1, and (bottom) copper barrier.

There are a number of other niche applications, particularly in FEOL processing, that are anticipated to emerge from R&D over the next several years. These will in all probability be single layer processes that will not generate the kinds of volumes found in tungsten and copper interconnects. One area that is generating a noteworthy level of activity is copper slurry for through-silicon vias (TSV), a packaging process that has begun moving into manufacturing.

Pad business environment

Techcet estimates the total available pad market in 2008 at $616M to $632M, and that it will rise by 2011 to between $673M and $743M. The upside forecast assumes no pad price erosion and that the average number of copper levels will increase from 10 to 11 at the 32nm node. With the widening implementation of point-of-use slurry dilution, some fabs have been reporting that pads are now the largest portion of their CMP consumables costs.


Figure 5. Polishing pad market share.

Overall pad market share is dominated by RHEM at 83% (Figure 5). Thomas West (TWI) has a well-established niche in tungsten pads. Cabot and JSR have recent market entries, and their respective market shares are reportedly each dominated by a single significant fab win.

The dominance of RHEM does not adequately reflect details of the market dynamics in specific process segments. The following estimates are based on interviews conducted by Techcet for its 2008 CMP Report.

  • ILD. Praxair manufactures a pad that is marketed jointly with AMAT as an AMAT BKM and is believed to have captured as much as 10% of the ILD pad market share. Similarly, Toyo is thought to have captured as much as 5% of the ILD pad share in Japan.
  • Selective STI. This segment is a clean split between 3M with 100% of the fixed abrasive pads and RHEM with 100% of the conventional slurry pads. We have found no reliable estimates for the share distribution between fixed abrasive and conventional STI.

  • W. RHEM holds ~65%, with a declining share. TWI is holding steady at ~30%, Cabot may already have as much as 5%, and innoPad is said to be installed at one large Korean fab, both of these increasing at the expense of RHEM.

  • Cu. The copper pad market dynamics are dominated by the two leading CMP equipment makers, AMAT and Ebara. On the AMAT platform, the copper bulk and soft landing steps are dominated by RHEM IC pads at 80%, with JSR the biggest player in the remaining 20%. The AMAT barrier and buff step is dominated by RHEM Politex at 90%, with Fujibo controlling the remaining 10%. On the Ebara platform, copper bulk and soft landing is dominated by RHEM IC pads at 95%, with Cabot finding a niche for the other 5%. Ebara barrier and buff is the same as AMAT, with RHEM Politex at 90% and Fujibo at 10%.

Collaboration moves CMP forward

While much of the work done by suppliers and fab customers is proprietary, there are two forums that have established themselves as magnets for peer-to-peer CMP collaboration.

The Northern California Chapter of the American Vacuum Society (NCCAVS) has a long-standing CMP Users Group (CMPUG) that schedules multiple meetings per year focused on various aspects of CMP. These meetings provide a structured but flexible forum for technical presentations and discussions which help all attendees improve their understanding of CMP. Suppliers also use this forum for new product introductions and evaluation results [2]. Another emerging venue for CMP technical exchange is the Planarization Lounge on SemiNeedle, modeled after other online communities.

Conclusion

The stabilization of the CMP consumables community has made it possible for both fabs and suppliers to focus on the business of optimizing process performance and cost. The growth slowdown in slurry revenue suggests that the history of photoresist utilization is repeating itself in CMP. The full impact of the current economic downturn remains to be seen, but early indications are that the CMP consumables market has slumped no less, but no worse, than other materials sectors. By the end of 2010, Techcet projects that CMP consumables will recover only to 2007 levels at $1.8B combined.

References

1. 2008 Techcet CMP Critical Materials Report.
2. Proceedings of NCCAVS CMPUG meetings.


Michael A. Fury received his PhD in physical chemistry from the U. of Illinois at Urbana, and is a senior technology associate at The Techcet Group, LLC, P.O. Box 29, Del Mar, CA 92014 USA; email [email protected].

Robert L. Rhoades received his PhD in electrical engineering from the U. of Illinois at Urbana and is a senior technology associate at The Techcet Group, LLC; email [email protected].

Steven P. Holland received his PhD in analytical chemistry from Purdue U. and is a senior partner and manager at The Techcet Group, LLC; email [email protected].

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.