Playing the field: Qualcomm embraces GlobalFoundries, reups with TSMC

January 8, 2009 – Living up to its pledge of an "integrated fabless manufacturing" (IFM) model, fabless giant Qualcomm has made two deals to reserve leading-edge semiconductor manufacturing capacity: one with longtime partner TSMC, and the other with foundry upstart GlobalFoundries.

The longtime customer of TSMC (they worked closely at 65nm in 2006 and ported to 45nm in 2007) now will jump ahead to 28nm for the fabless company’s system-on-chip technology, where density is twice that of previous nodes; work will involve both high-power high-k/metal gate (HKMG) and silicon oxynitride (SiON) low power versions, with tapeouts expected by mid-2010.

Meanwhile, Qualcomm says it is saddling up with GlobalFoundries for both 45nm and 28nm low-power processes, plus "an intended collaboration on future advanced process nodes." The first part of the arrangement will target the firm’s wireless business, providing technologies for handhelds and smartbook devices using various cellular standards (CDMA2000, WCDMA, 4G/LTE); designs will be sent later this year to GlobalFoundries’ Fab 1 in Dresden, Germany. The two also plan do explore other areas such as die-package interaction and 3D packaging technologies, but did not offer specific areas of focus or timelines.

Editor’s Take

On the one hand, Qualcomm is simply being smart in spreading its manufacturing around and mitigating risk. The work with TSMC seems targeted for systems-on-chip DSP for cell phones, continuing work on products the two firms already have developed. The announced work with GlobalFoundries appears to target a CPU for mobile devices including smartbooks/netbooks, and CPUs are an area in which AMD’s former manufacturing arm has solid know-how, noted Dean Freeman, research VP at Gartner, in an e-mail exchange with Solid State Technology. TSMC’s stumbles with 40nm node manufacturing have been publicized, and with a rush of orders at year-end there are questions about capacity as well. "Competing foundries will build enough capacity to satisfy 130% of the total market," added Joanne Itow with Semico. "The result: someone is left with an overcapacity situation."

It’s worth noting that GlobalFoundries and TSMC represent different camps in terms of high-k/metal gate (HKMG) technology — GF, as part of IBM’s ecosystem, has gate-first, while TSMC is among those doing gate-last. While Qualcomm’s transistor designs are likely different in its work with these two partners, this could potentially become a tire-kicking case study to determine any significant differences between the two HKMG options and by extension the two leading-edge manufacturers. "It will be interesting to see if Qualcomm eventually goes public with a side-by-side comparison," Freeman observed.

On the other hand, signing Qualcomm as a customer is a huge validation of what GlobalFoundries has become in just a short time. As the spinoff of AMD’s manufacturing operation, AMD was the captive first "customer," but then in July it signed STMicroelectronics for 40nm low-power bulk silicon technology. But GF, now combined under the same parental umbrella with Chartered (which is among Qualcomm’s IFM foundry outlets), represents a direct threat to TSMC.

"If I am TSMC, should I be worried? Yes," Freeman said. "It now means that I have to step up and make sure I am just as good if not better than GF when these products start rolling out. If I can blow GF away on specs and yield I get the business back; if I trip up then I possibly lose more business to GF."

Qualcomm is one of only a few chipmakers expected to eke out any growth in 2009 (Gartner puts it with memory firms Samsung and Hynix, while IC Insights lines it up alongside Samsung, Toshiba, and MediaTek). Partnering with the biggest fabless company out there in terms of sales (nearly double that of No. 2 Broadcom) for advanced-node chip development and manufacturing is a win for both TSMC and GlobalFoundries — who it would seem are now, quite literally, being mentioned in the same breath.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.