Analysts’ take: Samsung incites “foundry wars” with 32nm HKMG volley

by James Montgomery, news editor

June 14, 2010 – Samsung’s announcement that it has completed testing of its 32nm high-k/metal gate architecture, ramping to volume possibly by year’s end — and following quickly with a 28nm version — has the industry buzzing about a possible reshaping of leading-edge semiconductor foundry manufacturing.

Ana Hunter, VP foundry at Samsung Semiconductors, filled in some of the details for SST. The Samsung 32nm process is a gate-first HKMG structure based on the IBM common platform. An SoC application processor "designed for maximum testability" — the same one used by Samsung for its 45nm low-power process, for an apples-to-apples comparison — improves dynamic power reduction by 30% and leakage power by 55% (thanks to things like power gating, multi-threshold voltages, multi-channel lengths and adaptive body biasing techniques). It incorporates an ARM 1176 core, with physical core library, cells, memory compilers, etc. designed by ARM. Also included is a Synopsys IP macro, plus other Samsung-designed IP basically used to qualify the ecosystem process; Samsung also is working with EDA partners (e.g. Synopsys, Cadence, Mentor) to make sure everything works with design kits and tools that its customers already use. Everything at 32nm HKMG can be migrated to 28nm, Hunter said; design rules are shrinkable with recharacterization and timing.

Gate-first HKMG is easier to implement as a transition from a traditional poly/SION structure, Hunter explained. The construction of the gate and transistor remain the same, though the materials are different (i.e., a high-k gate oxide instead of oxynitride); a metal gate is inserted, and then poly on top of that — and the rest of the flow is "basically the same as previous generation structures," she said. Compared with gate-last HKMG, gate-first also is "much simpler" to implement from a process migration standpoint in terms of IP implementation, and fewer restrictive design rules (gate-last requires CMP around the gate structure). Gate-first enables good logic density shrinking — "we can maintain 50% shrink from 45nm to 32nm because there’s not as many restrictive design rules," Hunter said. This makes the process particularly good for mobile applications, as it’s cost-effective and "very good on gate leakage — >100× improvement from 45nm to 32nm."

After early process development w/ the alliance, Samsung installed the technology in its S line in Korea (on which the company also does LSI work), completed qualification and reliability testing (wafer-level, package-level, 1000 hour stress testing) with materials manufactured on the S line, to improve yield and manufacturability, noted Hunter. Tape-out will be in the next few months. with primarily prototyping and customer sampling in 2H10, and production in early 2011 (or possibly pulled into the very end of 2010). "The process is frozen," Hunter said; what remains is "getting yield up, getting more tools qualified, bringing up the manufacturability side of things." She also confirmed that the 28nm HKMG version "is still on schedule to be production-ready in 1H11." (That’s about in line with what Samsung said late in 2009, and Hunter reiterated in April in a podcast with SST‘s Debra Vogler, that 32nm/28nm HKMG was in "preparation" for volume production with tapeouts later in the year and moving "very quickly to 28nm.")

Click to Enlarge
Ana Hunter, VP foundry, Samsung Semiconductors

Why do both 32nm and 28nm; Samsung’s foundry differentiators; CPA pros/cons

Pros/cons of its HKMG process being based on the IBM Common Platform Alliance: "We develop the process jointly, provide customers the ability to multisource in different factories with competitive business models…Of course we compete for business, but we think the foundry market is a big market, growing all the time." With another company based on the same technology, and options to do other things (e.g. additional modules, customize processes) — "having that baseline being able to source at both suppliers, working together to ensure GDS compatibility, is a major competitive advantage. There’s plenty of business out there for us both."

What’s the strategy to compete with TSMC: "Obviously we have not been in the foundry business as long as TSMC has, and we’re nowhere near as big. Our strategy is to be very competitive in advanced technology nodes. To that end, we are very focused on achieving leadership in advanced nodes — not just development but taking it to high-volume manufacturing, because we have the financial capability to do so."

"Getting into the business to be a second source is not our intention."

Why strongly pursue both 32nm and 28nm HKMG: The strategy is to be "all-in at 32nm and smooth the way for 28nm," Hunter explained. "We thought it was important to meet the needs of customers who want 32nm now, an advantage in time-to-market, then follow close with 28nm." Planting the HKMG flag first at 32nm "makes us a leader…we think that’s important to have competitive position there, to invest in these technologies, to bring to production in high-volume fabs," she said. And getting HKMG under its belt early and fast, and ramped to volume, is particularly important so that customers are "comfortable with manufacturability and cost savings," especially for low-power target end-applications like mobile devices, she noted. "Having 32nm in production will help us a lot with the learning curve, making 28nm a much smoother transition," Hunter said.

Differentiators for Samsung’s foundry business: If a customer needs help with a design, Samsung’s Hunter sees this as a differentiator: "We do that work in silicon and real products, and feed that learning back into design flows that we can provide our foundry customers." Samsung also has ASIC services for customers who want to have backend design work done. "The line between ‘ASIC backend’ and foundry is becoming fairly gray and fuzzy; customers are more and more seeking help on the design side [which is] getting very complex," Hunter said. "DFM built into design is something we’re experts in."

Supporting foundry with capex. Note that Samsung’s recent capex blitz for 2010 included about $1.8B for its system LSI operations, within which the foundry business is a subset. (Hunter offered no definition as to how that’s split up — Gartner’s Dean Freeman suggested the foundry portion could be up to $1B.) Hunter did say that the new investments are "very beneficial for our foundry business," being in advanced technology nodes and 300mm lines "where our foundry business is concentrated." Also, Hunter noted that the $1.8B pie is only for manufacturing lines — and suggested there’s another 8T won (almost US $7B) in R&D capex budget that could be tapped as well.

Analysts’ take: Finally, a foundry horse-race!

Samsung isn’t the biggest foundry, and the question of who’s "first" with HKMG is still up for grabs — but certainly its announcement of production-ready 32nm HKMG and a 28nm version soon to follow has complicated the equation for leading-edge semiconductor manufacturing options.

TSMC has been talking about HKMG but has set its bar at what traditionally have been viewed as "half-nodes," e.g. 40nm and 28nm, notes Joanne Itow with Semico Research. TSMC’s 40nm process offered "a slightly different formula for power and performance" — but there were some openly known yield issues that caused headaches and poor publicity, she added. Gartner’s Freeman suggested no foundry has "completely ditched" 32nm HKMG in favor of 28nm — TSMC is running an abridged version for those who want it, and GF has a 32nm offering as well, but "they don’t talk a lot about it as they are both moving to the half-node where the foundry money is."

So which foundry will be first with 32nm/28nm HKMG, and when? Samsung says it will have 32nm HKMG ready by late 2010 or early 2011 — earlier this year it identified Xilinx as the first customer for 28nm HKMG, and Hunter told SST that "there are others" both existing and new customers (but she wouldn’t name names). Qualcomm is another leading-edge customer for Samsung, and so is Apple (Samsung fabbed a chip for the iPad); "You also might see Infineon with a device or two," Freeman suggested. Meanwhile, GlobalFoundries will have a 32nm HKMG version ready in 4Q10, which is a MPU for AMD using an SOI process — though it’s unclear if/when a 32nm HKMG foundry process will be available. In January TSMC said Qualcomm would tape out a 28nm process in mid-2010, but wasn’t specific whether that would be HKMG or SION. Almost a year ago it said it would start ramping 28nm HKMG process (first a HP version, then LP) in 3Q/4Q10. TSMC and GF roadmaps are very similar, Freeman said, so rollouts could be separated by only a month or two.

"Due to the way roadmaps are announced it will be difficult to tell who will really be first until someone announces a customer is shipping in some sort of volume," Freeman said.

The greater message may be that the foundry sector is finally becoming a horse race. Though TSMC will likely ramp its HKMG option first, its lead on others has notably shrunk, says Freeman. "What we have at 32nm/28nm is the real beginning of what I have been calling the foundry wars" — GlobalFoundries and the Common Platform Alliance trying to unseat TSMC, and TSMC firing back. "The differentiation will be who can provide the design service I need, Meet my capacity requirements, and hit my technology roadmap," Freeman said.

Judging just based on research, "I believe the foundries are neck and neck — and that includes Samsung," said Itow. For actual deliverables, TSMC would still lead the pack but with GF and Samsung right behind. "I’d compare this to a horse race that requires a photo finish to determine the winner," she said. "And actually, there probably aren’t any losers in this race — the customers are provided more variety with lots of proven technology."

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.