SEMICON West: Lithography trends at Sokudo breakfast forum

by Laurent Pain and Didier Louis, CEA-Leti

July 15, 2010 – The Sokudo lithography forum, held on the Wednesday of SEMICON West, reflected the evolution, the challenges and the development needs that lithography has to address for the 22nm node.

ASML remains the leading supporter of EUV as a successor to 193nm. The company’s first NXE3100 platforms are on schedule, currently at the final building and testing stages. Nevertheless, source power and mask defects remain the key challenges for this technology. Additional funding is required in order to meet industry specifications.

Nikon pointed out the scalability potential of 193nm high-NA immersion scanners using a double-patterning strategy as a real alternative for lithography extension. Indeed, 1.35NA scanners with higher throughput (>200wph) are already available and will be able to address sub-22nm nodes with the pitch-division strategy by using spacer deposition.

The third option explored during the forum was maskless lithography. Mapper Lithography, a company based in the Netherlands, presented a status update of its development program. Two platforms have been shipped and installed at industrial clean rooms at TSMC (Taiwan) and CEA-Leti (France). The work around this second platform is shared within the IMAGINE program, launched in 2009 and led by CEA-Leti.

TSMC and STMicroelectronics were the first two IC makers to join this initiative and the partnership inside IMAGINE has since been extended. Sokudo, TOK, Dow Electronic Materials, and JSR are now jointly working with CEA-Leti to develop a functional infrastructure that will develop the first industrial platforms in line with industry roadmaps and their timing.

At the end of the forum, Sokudo presented its vision for future coating and development track lithography. Through collaborative partnerships on double patterning, EUV and maskless, Sokudo is driving all future lithography technologies forward, to be ready to satisfy industry needs.


Laurent Pain joined CEA-Leti in 1996 to work on infrared technology, and was assigned to the microelectronics department in 1999 to work on 193nm and e-beam photoresist technology. In 2000, he joined STMicroelectronics’ Crolles site to setup the first 193nm litho cell and later he helped set up the e-beam direct-write litho cell in ST’s Crolles 2 manufacturing site. He returned to Leti in 2008 and was named manager of the Lithography Department.

Since joining CEA-Leti in 1985, Didier Louis has held a variety of positions in microelectronics research. In 2000, he served as the manager of the etching and stripping R&D laboratory, and from January 2004 to December 2007, he was deputy manager of the BEOL Laboratory. In 2008, he was named the deputy manager of Leti’s Materials and Advanced Modules Laboratory and public relations manager of the Nano-Electronic Division. Didier was named Leti’s international communications manager in 2010.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.