SEMICON West Lesson #1: Good times here, for now

by James Montgomery, news editor

July 26, 2010 – Everyone was in a good mood this year, and why not? Updated forecasts point to a 100%+ surge in business for many sectors of semiconductor equipment suppliers, and individually suppliers were practically beaming when talking about their current business (and increasingly, extending to end of 2010 and perhaps beyond). And semiconductor demand itself is poised for 30% growth or even more. Helping the show’s mood was Intel’s blowout quarterly numbers, and its nudge of 2010 capex up to $5.0B-$5.4B adds about $400M more to the 2010 capex pool. (That’s a far cry from Samsung’s massive spending spree, but as Deutsche Bank’s Stephen O’Rourke points out, higher spending in 2010 means there’s less chance of overspending in 2011.)

"I’m as excited as I’ve been since the mid-90s," proclaimed Novellus CEO Rick Hill, during his company’s media presentation on Monday July 12. For the past decade or more the market has been supply-driven, with companies pursuing market share. Now, there are multiple demand drivers keeping the engine humming, pointed out NVLS’ Tim Archer, following Hill on the stage — a long-overdue, global PC refresh cycle; clamor for notebooks/netbooks/tablets, and phones/smartphones. The execs projected the current upswing could last through 2012.

Most everyone is "very positive" about the near-term outlook, agreed Deutsche Bank’s Stephen O’Rourke in a research note, citing 1:1 meetings at SEMICON West with a handful of industry heavyweights.

The good news extends to other chipmakers as well, in the form of capacity announcements during the show. TI took over some 300mm fabs from Spansion Japan (and sold $40M worth of its own 300mm tools to UMC). TSMC broke ground on its third 300mm fab, Fab 15, ultimately a massive >$9B, 100,000 wafers/month behemoth — several times bigger than a typical 300mm fab — with tooling in July 2011, ramping production (40nm and 28nm) by 1Q12, and achieving full capacity by 2015. Others are prepping manufacturing as well. Satya Kumar from Credit Suisse cites "scuttlebutt on the floor" of SEMICON West that STMicro is "back on the radar" with plans to add 300mm capacity. Samsung Austin, too, may surprise with the speed of its ramp, he added, and GlobalFoundries wants to start moving tools into its NY fab "not in 2012, but as early as June next year."

More “lessons learned” from SEMICON West 2010:
Lesson #2: Capital intensity & EUV
Lesson #3: 3D and packaging are hot
Lesson #4: Supply chain challenges
Lesson #5: Interests outside CMOS

No change in visibility

Nobody’s really sure what to expect beyond the next few months, though. Though near-term ebullience is fueled by robust demand, "the question of for how long was also shared by all," notes O’Rourke. "Few would agree visibility has meaningfully improved" beyond the next quarter or so.

Barclays’ CJ Muse is more bullish. "Across the board from all parts of the equipment food chain, we heard about increasing visibility into 2H10 and 2011 (particularly for the longer lead-time litho area)," he wrote in a research note. Credit the aforementioned "arm’s race" among foundries (TSMC, Samsung, UMC, GF, plus China’s SMIC and Hua Li), and NAND players are investing too, with new fabs in the works from Toshiba, Samsung, and Micron. Also, "DRAM continues to chug along with the tier 2 players just starting to emerge — we also picked up that Macronix and Renesas just placed immersion litho orders," Muse noted. He added that 6+month lead-times for immersion lithography tools "will likely continue to act as a regulator on excessive capacity builds."

One dark cloud on the entire SEMICON West horizon was word from Kulicke & Soffa that some orders for ball bonders were being pushed out from its fiscal 4Q. Both Kumar and Muse fingered SPIL as the culprit — but neither thinks it’s indicative of backend equipment demand in general. "The pushouts appear to be driven primarily by a single IC customer (Mediatek) disproportionately leveraged to a specific end market (Chinese handsets) and driven by a specific technology (back end migration to copper, which is still confined to the low end IC space and was the most ripe for double-ordering)," Muse writes in a research note. Kumar points out that other demand should pick up the slack for SPIL’s lag — e.g. KLIC anticipates up to 1500 bonders in the current year for LEDs, vs. none in 2009.

Show stats

Attendance to SEMICON West this year mirrored that 30% chip growth rate, according to SEMI’s preliminary numbers, and was said to be maybe a little better than 2008. Vendors we talked to on the show floor generally seemed happy with the traffic; many places we approached were too busy talking to actual customers and supplier partners (mainly during the first two days). Keynote sessions were generally packed, frequently overflowing into an adjoining space with a giant TV monitor. A mezzanine-level scan, though, revealed maybe a little lighter traffic pattern and quite a bit of unused space than in previous years, a sentiment echoed by several industry-watching show veterans.

In a post-show analyst note, Gartner’s Dean Freeman points out the show floor reflected "the effects of austerity and a changing industry." Equipment displays were few, and most top suppliers weren’t really present on the show floor; many camped at local hotels for private meetings. The optimistic mood around the floor reflected certainty about 2010 being such a better year, as well as firming optimism that growth will continue in 2011. "Attendance still seemed to be below expectations; however, the quality of the meetings was good," he wrote. In fact, there was a rumor that an actual order was placed on the show floor — "a rare occurrence nowadays."

It probably goes without saying, but this isn’t the same show it was even a decade ago. No longer does this event represent the prime venue for end-users to show up and kick tires & purchase systems. Aside from a lot of cool robotics, there weren’t many process tools on display. The suppliers know their customers and are in contact with them all the time. "It appears that the format of the show has changed from a place to display new wares and make sales, to one that is now a place for analysts to evaluate companies and meet with CEOs," Freeman notes. Essentially SEMICON West, like so many others in various fields, has become more of a social gathering. And that’s not necessarily a bad thing.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.