Mask and template inspection: Production-worthy mask inspection for emerging nodes

Actinic extreme ultraviolent (EUV) lithography inspection preserves the production paradigm with acceptable cost-per-inspection despite higher capital costs. Its development path is clear and achievable in time to meet technical and economic requirements for 16nm-hp production in 2015. Brian Haas, Gregg Inderhees, KLA-Tencor Corp., explain key elements of mask inspection and what actinic EUV inspection provides.

Key elements of today’s mask inspection production paradigm include: 100% defect capture with <100 false counts or nuisance defects; defect detection based on die-to-database image comparison for single-die, non-uniform, or complex masks; short scan times (<6 hrs) to accelerate mask turn-around or cycle time and to reduce cost-per-inspection; and no mask damage or contamination during inspection. Regarding mask inspection options going forward, the question becomes, do they fit this paradigm, or will mask makers and their customers be forced to accept compromises? 

Figure 1. Inspector signal modulation improves dramatically at resolutions below 25nm-hp with off-axis illumination and a reflective absorber. 

For consistency, Moore’s law can be referenced in memory half-pitch (hp), which equates roughly to the next logic node (e.g.: 22nm-hp ~16N). Today’s ArF (193nm wavelength) scanners are used through 55nm-hp. Immersion (193i) scanners enable 40nm-hp. Some leading-edge mask inspectors today, themselves operating at 193nm, feature greater numerical apertures (NA) at the reticle surface than the scanners, and therefore capture all relevant mask defects. Decades perfecting hardware noise suppression and die-to-database detection algorithms enable inspection of single-die or other complex masks as sensitive as die-to-die detection methodologies, along with minimal false counts at 100% defect capture. 

More on EUV:

Video interview with SEMATECH: EUV on center stage

SEMICON West Lesson #2: Capital intensity & EUV

Research updates on EUV, mask, cleaning, etc from Leti

ASML: Exposure tool development for EUV 

Status of EUV lithography for the 22nm half-pitch

EUV masks, comprised of a starting substrate, coated with a reflective multi-layer stack, and topped with an absorber layer etched with pattern, are unlike their optical predecessors in that they only reflect (vs. transmit) light. Fortunately, optical inspectors that feature high-resolution reflective imaging can inspect EUV masks as well.  Results have been presented in several forums [1], demonstrating capture of all printable defects on 32nm-hp masks, with additional sensitivity to capture defects just below the printing threshold which could be relevant across the broader lithography process window or identify process deviations in the mask shop. 

 The architecture of these tools will be extended in the coming year to serve below 22nm-hp EUV production, not only for patterned masks, but also for starting substrates and coated blanks. Much like resolution enhancement techniques employed in scanners, these inspector extensions leverage polarization, off-axis illumination, and EUV-specific model-based algorithms [2]. As shown in Fig. 1, another key to extending today’s production-ready 193nm-based inspectors below 22nm-hp is optimization of the absorber stack on the mask itself. At resolutions near 18nm-hp, the inspector signal modulation, which distinguishes pattern features and their defects, improves significantly if the absorber reflectivity is slightly increased. The lithographic impact of this material is under investigation by scanner suppliers, and requires optimization across competing demands for lithography and inspection. 

Node half-pitch (HP) in production

 

32nm 2010 22nm 2010 16nm 2015 11nm 2017 8nm 2020

Sensitivity req.

2D defects 26nm 18nm 13nm 9nm 6nm
  1D defects 12nm 9nm 6nm 4.5nm 3nm

Optical inspector

Throughput 3hr 2hr 4-8hr N/A N/A
  Capture rate 100% 100% 100% N/A N/A
  False count <100 <100 <100 N/A N/A
e-beam inspector Throughput N/A 40-80hr 80-160hr 160-320hr 320-640hr
  Capture rate N/A 90% 90% 90% 90%
  False count N/A 10-1000 100-1000 100-1000 100-1000
Actinic inspector Throughput N/A N/A 3hr 3hr 3hr
  Capture rate N/A N/A 100% 100% 100%
  False count N/A N/A <100 <100 <100

Table 1. Roadmaps of sensitivity requirements for EUV lithography and production operating points for candidate mask inspector architectures.  Colors denote performance against requirements:  fully meets (green), compromised (yellow), unacceptable (red).  E-beam values are extrapolated from best results today, with additional assumed throughput improvements of two to four times. 

But at nodes below 16nm-hp, optical systems run out of resolution. Alternatives, described below, are needed to preserve Moore’s law, with requirements delineated in Table 1. 

e-Beam mask inspection

Electron beam (e-beam) inspection promises nearly unlimited resolution. E-beam inspectors are also less expensive to develop and manufacture relative to actinic options.  Furthermore, suppliers can amortize their e-beam efforts broadly across markets for both mask and wafer inspection.  

Unfortunately, there are fundamental impediments to e-beam mask inspection, which either disqualifies it as a production solution, or requires radical departures from today’s mask production paradigm:

Throughput. E-beam inspection is slow, with throughput degrading by a factor of four with each successive node (Table 1) due to mutual repulsion of electrons in dense spaces, limiting the signal available to resolve images without protracted sampling. By contrast, optical systems are faster than e-beam systems today and throughput only degrades by a factor of two per node. E-beam scan times appearing in the table not only impact cost-per-inspection, but they result in impractical mask fabrication cycle time, thus slowing IC technology learning cycles and mask turnaround times. Attempting to bridge throughput gaps of two or three orders of magnitude, development of multi-column or multi-beam architectures has shown disappointing results despite decades of work, and therefore seems particularly unlikely to generate a production-ready solution in the next few years. 

Capture rate and false counts. Owing to electron density limitations and subsequent detector shot noise, e-beam images are inherently noisy. Additionally, contrast in e-beam images are typically less than optical systems resulting in lower signal levels. To guarantee 100% defect capture in noisy images, inspection defect thresholds must be set very low to accommodate noise-induced fluctuation of defect modulations (defect signatures relative to background signal). As a consequence, even a low magnitude noise signal can statistically trigger a large number of false defects across the 20 trillion pixels comprising the mask image. Together, modulation variability in the presence of high noise leads to missed defects, poor sensitivity and excessive false counts, making mask disposition impractical after inspection. 

Mask damage. The multi-layer stack comprising the floor of the EUV mask pattern is thermally fragile, and thus susceptible to localized heating typical of high-current e-beam inspection. Studies are currently underway to characterize mask damage during e-beam and actinic inspection. 

Phase defects. Very shallow (~1nm) pits and bumps on the multi-layer surface have proven to be a significant source of lithographic phase defects, caused by phase shift of light reflected from the mask surface. Phase defects cannot be captured by e-beam inspection, based on surface scanning and secondary electron emission, because of the lack of phase coherence [3]. Conversely, partially coherent photon-based inspectors often can capture EUV phase defects, even if non-actinic. 

Actinic EUV mask inspection

A final inspector candidate employs EUV light at the actinic wavelength of 13.5nm (i.e., at the same wavelength used by the scanner to activate photo-resist during lithography).  Note that intermediate wavelengths would be poor candidates for inspection for lack of available light sources and other infrastructure, limited extendibility beyond 16nm-hp resolution, and inability to capture subtle phase defects unique to 13.5nm. The actinic inspector enjoys great resolution improvement over its optical forbearers and is assured architecturally of tracking future enhancements made in the EUVL scanner roadmap.  Actinic EUV inspection preserves acceptable cost-per-inspection despite higher initial capital costs relative to e-beam or prior optical tools. An actinic tool covers all EUV inspection needs: blank and patterned-mask development, production, and outgoing quality control (OQC) for mask manufacturers, and incoming quality control (IQC) and reticle re-qualification in the IC fab. But EUV actinic inspection poses economic and technical challenges, addressed next.

  32nm 22nm 16nm 11nm
Inspector Teron 610 193nm    Extended 193nm EUV Actinic  Current e-beam  10x improved e-beam  EUV Actinic 20x improved e-beam
Scan time 3hr 2-3hr 3-5hr 320hr 32hr 2-4 64hr
System price (normalized) 1 1.3-1.5 1.5-2.0 0.025-0.4 0.3-2.0 1.6-2.2 0.5-2.0
Cost-per-inspection (normalized) 1 1.3 1.7 22.6 2.9 2.2 6.8

Table 2. Cost-per-inspection (CPI) estimates by node for different EUV mask inspector architectures (normalized by the Teron 610 optical inspector from KLA-Tencor). E-beam results are extrapolated from current performance, with additional columns arbitrarily assuming very aggressive 10x and 20x throughput improvements. 

The cost-per-inspection (CPI) of an actinic inspector can remain on par with the optical baseline and is better than e-beam, despite the higher development cost and tool price resulting from more expensive components. Table 2 compares e-beam and actinic CPI for 16nm and 11nm production. Here, customer cost-of-ownership and supplier return-on-investment were estimated by scaling development and production costs from historic precedent, then compensating for cost drivers unique to EUV. 

What is the technical readiness of actinic inspectors? Tool and component suppliers have made considerable progress aligning subsystem capabilities with requirements. Critical subsystems include the light source, sensor, optics, image processing, and low-contamination vacuum platform. 

Light source. Although inspection is less demanding than lithography (e.g., power, brightness), actinic inspectors can nonetheless leverage today’s laser-produced plasma (LPP) EUV scanner light sources, with modifications mainly to reduce cost. No invention is required for scanner light sources to work in an inspector, and multiple viable source candidates have emerged. Future lithography source enhancements would boost source brightness, with direct benefit to extend the actinic inspector beyond 11nm-hp [Ref 4]. 

Optics. Inspectors can leverage reflective optics and coatings similar to those in the scanner. Candidate designs are under evaluation today that enable traditional high-resolution reticle inspection. Resulting resolution at the reticle will capture defects sized <10% of critical dimension (CD). 

Sensor. Time delayed integration (TDI) sensors, prominent in KLA-Tencor TeraScan 5XX and Teron 6XX inspectors, operate just as well at EUV wavelengths, requiring incremental changes only for prolonged life in vacuum.  

Image processing. 193nm lithography employs uniform scanning across the mask. As a result, neighboring die on a multi-die mask are intentionally uniform, enabling simple die-to-die comparisons for defect inspection. Conversely, EUV lithographic scanning is non-uniform and asymmetric (i.e., flare), such that neighboring die on the mask may be intentionally different in order to yield uniform die on the wafer. This makes simple die-to-die inspection of EUV masks problematic. As a result, EUVL masks may require far more die-to-database inspection than had 193nm-based lithography, for both single- and multi-die reticles. Fortunately, die-to-database modeling and algorithm expertise for reflective optics inspection, proven in production over two decades, will readily translate for application to the EUV reflective architecture. 

Vacuum platform. The optical and mechanical platform transition is not a simple evolution of atmospheric designs, as actinic inspection requires ultra-clean vacuum operation. Primary drivers of the platform design include avoiding mask “haze” due to EUV-activated photo-contamination, protecting the mask from fall-on particles for lack of a pellicle, and protecting inspector optics from contamination and oxidation resulting in loss of light transmission. Of course, these same challenges apply to EUV scanners, and the expertise for addressing them is maturing among the equipment companies and their platform sub-suppliers.  
In short, the development path for actinic inspection is clear and achievable in time to best meet technical and economic requirements for 16nm-hp production in 2015. 

Conclusion

To date, mask inspectors satisfy a production paradigm that limits scan time (for cost and cycle time benefits), ensures 100% defect capture with few false counts, and supports die-to-database inspection for single-die and multi-die reticles. Some leading-edge optical inspectors, operating near 193nm, meet this paradigm through enhancements in noise suppression and image processing which can serve all lithographic techniques based on 193nm dry or immersion scanners. These inspectors are extendible to inspect EUV masks, without breaking the paradigm, for mask production at 22nm-hp and perhaps as low as 18nm-hp. However, 193nm optical inspectors cannot resolve patterns or their defects below 16nm-hp. E-Beam inspectors enjoy exceptional resolution at relatively low capital cost, perhaps making them an intriguing candidate at 16nm-hp. But e-beam is challenged by throughput 100x-1000x slower than optical inspection, is prone to damaging the mask, suffers poor capture rates and false counts, and is blind to EUV phase defectivity. E-beam inspection breaks the mask production paradigm, although it may serve R&D applications. Actinic EUV inspection preserves the production paradigm with acceptable cost-per-inspection despite higher capital costs. And actinic inspectors can employ subsystems readily derived from their scanner counterparts, leveraging their advances to extend inspection to all nodes served by EUV scanners. 

References:

1. D. Wack, et al., “Critical Review of EUV Reticle Inspection Options,” International Symp. on EUVL, 2009.
2. D. Wack, et al., “Mask Inspection Technologies for 22N HP and beyond,” Proc. SPIE Vol. 7636, 2010.
3. R. Jonckheere, et al., “Investigation of EUV mask defectivity via full-field printing and inspection on wafer”, Proc. SPIE Vol. 7379), 2009.
4. D. Brandt, et al., “LPP Source System Development for HVM”, Proc. SPIE Vol 7636, 2010.

Brian Haas received his BS in mechanical engineering and mathematics from the U. of Wisconsin-Madison and his MS and PhD degrees in Aeronautics and Astronautics from Stanford U., and is VP and GM of the Reticle and Photomask Inspection Division (RAPID) at KLA-Tencor, One Technology Drive, Milpitas, CA 95035 USA; ph.: 408-875-0488; email [email protected].

Gregg Inderhees received his BS degree in chemistry from Rose-Hulman Institute of Technology and his MBA from St. Edward’s U. He is Director of Strategic Marketing for the Reticle and Photomask Inspection Division (RAPID) at KLA-Tencor. 

Also read:

Mask defect inspection tool duel: KLAC vs. AMAT

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.