Lithography materials infrastructure benefits from a collaborative research approach

(October 4, 2010) — Advances in lithographic patterning critically depend on the timely availability of enabling resists and materials, say Warren Montgomery and Stefan Wurm, SEMATECH. Successful resist and materials development takes many years, and a decade or longer can pass between the identification of promising new materials in basic research and their eventual introduction into semiconductor manufacturing. The development or extension of new or existing resists and materials to support lithographic patterning several nodes out from what is used in today’s wafer fab requires a one-of-a-kind tool infrastructure that enables the lithographic evaluation of their capabilities. SEMATECH’s Resist and Materials Development Center (RMDC) is one of few facilities that assist researchers from industry and universities worldwide in their efforts to develop resists and materials that realize the International Technology Roadmap for Semiconductors (ITRS) [1]. 

Over the past decade, SEMATECH has enabled resist and materials development by providing the industry access to successive generations of small field exposure tools [2-4]. For the most recent wavelengths — extreme ultraviolet (EUV) at 13.5nm — SEMATECH commissioned two microfield exposure systems (METs) in 2004 and 2005 that continue to provide the backbone for the industry’s resist and materials research effort, enabling the resist and materials infrastructure to introduce EUV at the 22nm half-pitch while exploring resist extendibility to 16nm.

Figure 1. In 2009, the RMDS had a facilities uptime of 80% in its Albany-based facility, the best performance in four years.

Initially, SEMATECH’s infrastructure drove resist improvements by providing a neutral benchmarking site where resist material suppliers could test their materials confidentially and anonymously while their customers — the chip manufacturers among SEMATECH’s members — could get a better understanding of the overall progress of resist development. With EUV lithography, this model has evolved to include not only materials benchmarking, but also joint research projects that leverage SEMATECH’s infrastructure and its research network. Given the increasing cost of the tool infrastructure needed to support leading-edge resist and materials development, the limited number of such tools worldwide, and an industry shift towards sharing costs for early resist and materials development, SEMATECH launched the RMDC in Albany, NY, in 2008.
While continuing SEMATECH’s longstanding collaboration with all material suppliers for industry-wide benchmarking of lithography materials, the RMDC also provides an opportunity for resist and material suppliers to gain access to the highest-resolution optical imaging available and to the high throughput that resist and materials samples require for early stage development, during which many formulations must be screened. The RMDC also gives members access to SEMATECH’s research network with leading materials and resist researchers at universities and national laboratories, resist and process engineers at SEMATECH’s member companies, and the research infrastructure at SEMATECH and the College of Nanoscale Science and Engineering (CNSE) in Albany, NY.

The RMDC tool set

The RMDC offers a set of EUV exposure tools consisting of two METs and one full-field ASML Alpha Demo Tool (ADT). SEMATECH’s first MET was a synchrotron-based tool that started operating at the Berkeley Advanced Light Source in 2004. Its second MET, since 2005, is a standalone exposure tool in Albany, NY, which uses a discharge produced plasma (DPP) light source. The two tools serve distinct purposes. SEMATECH’s MET in Albany (A-MET) provides excellent resolution and high throughput, making it ideal for high volume screening of materials for high resolution imaging. The A-MET, an 8-inch wafer tool with an ACT-8 track attached, is run 24/7. In 2009, SEMATECH’s A-MET exposed 4500 wafers, supporting the screening of over 900 materials while maintaining an uptime of > 80%. The current best resolution on the A-MET is ~22nm half-pitch, which will increase to ~18nm after an illuminator upgrade that is scheduled before the end of 2010. SEMATECH’s Berkeley MET (B-MET) can achieve higher resolution than the A-MET because of its freely configurable illumination that allows, for example, rotated dipole illumination with no throughput loss. The B-MET is a 4-inch wafer tool, and resist processing is done offline in a cleanroom environment. The best resolution achieved with chemically amplified resists (CARs) on the B-MET is ~18nm, but with non-CAR resists and carefully chosen illumination modes, much higher resolution has been demonstrated [5].

 
Figure 2. RDMS support tools, clockwise from top left: Hitachi 9380 CD-SEM, Thermawave Optiprobe film thickness measurement tool, Data Physics contact angle measurement tool, molecular analytics clean room amine detection system.

Since its delivery in 2006, the EUV ADT at CNSE has provided valuable information, a key contributor to the recent advances in EUV lithography. The EUV source in the ADT is a Sn DPP source. The ADT provides EUV photons by using a reflective illumination system to supply the delivery of partially coherent illumination (σ = 0.5) to a 6" x 6" x 0.25" thick patterned reflective mask. The ADT is a 4× reduction 0.25 numerical aperture (NA) reflective imaging system, which employs the same software and step-and-scan architecture as ASML’s current deep ultraviolet (DUV) and 193nm exposure tools [6].  When microchip manufacturers and resist companies want to better understand the capabilities of their most promising processes and resist formulations, they rely on the ADT for full wafer information (e.g., single digit across-wafer variability and overlay). So far, the ADT has demonstrated the resolution of 28nm lines and spaces using a process that SEMATECH has developed and presented to the lithography community [7].

Performance of the A-MET and B-MET systems

In 2009, the RMDC had a facilities uptime of 80% in its Albany-based facility (the best performance in four years (Fig. 1).

Three downtime episodes occurred in 2009; only one was unscheduled. The first two downtimes, work weeks #15 and #25, were scheduled tool upgrades. The stages and optics were upgraded; the optics upgrade facilitated changing the outer sigma from 0.55 to 0.68, driving resolution from 28nm down to ~24nm. The third downtime was a source head failure, which was expected to put the tool down for months. However, it returned to maximum availability after only one week. The outstanding performance of the tool did not go unnoticed; its utilization has increased 200% since 2008.

The B-MET, which operates two shifts per day, was up 99.8% of the available imaging time. The facility housing the B-MET has a scanning electron microscope (SEM), absolute dose meter, YES oven, and operations staff. The Lawrence Berkeley team continually develops new and innovative imaging options to drive increased photoresist resolution on the B-MET [8].

Vertically integrated imaging and processing capability

The laboratory environment in Albany, which houses both the A-MET and the ADT, also has the infrastructure necessary to evaluate the performance of resist, bottom anti-reflective coating (BARC), and/or resist top coats. The RMDC has a Thermawave system to measure the refractive index and thickness of resist samples; both the Albany and the Berkeley sites have Hitachi SEMs as well as adhesion promoting capability and coat/develop stations (Fig. 2).

Figure 3. RMDC integrated flow.

Typically, members use the A-MET for coarse screening, although resolution to 22nm is possible. Once the samples are screened, the highest resolution materials are then exposed on the B-MET, which can routinely provide sub-24nm imaging (Fig. 3).

The RMDC provides the most complete data packages for materials characterization, especially for EUV imaging, that can be obtained anywhere globally. Standard data packages resulting from process runs include contrast curves, process windows (via exposure-focus measurements), and line width roughness (LWR) measurements. Every exposure area used to generate the plot is captured by SEM imaging and added to the test plan output.

To continue to facilitate high-resolution imaging at the RMDC, the illuminator on the A-MET is being upgraded to increase the current 0.68 maximum sigma to 0.9. The increased sigma is expected to allow resolution to ~18nm. The upgrade will facilitate an optics upgrade from 0.3 to 0.5 NA, which is expected to drive resolution to 12nm. SEMATECH also plans to upgrade the synchrotron light source optics from 0.3 to 0.5 NA; resolution is expected to improve to ~8nm. The illuminator upgrade is expected to be implemented in late 2010 to early 2011.

Figure 4. Scanning electron micrograph images of photo resist performance.

The industry standard for resist benchmarking

Benchmarking is a key enabler for resist and material makers as it tells them how their materials compare to the competition. SEMATECH has a long history of sharing benchmarking data with such companies without disclosing what companies supplied the competing materials. The RMDC generates this key information via its advanced tool set and the collection model currently in place. Over the years, SEMATECH has presented numerous papers showcasing newly formulated, state of the art imaging materials [9,10]. Figure 4 illustrates how this information may be conveyed to RMDC member company partners.

Fundamental materials research

In 2010, the RDMC is focusing its development efforts in the following areas: pattern collapse mitigation, line edge roughness (LER)/LWR reduction, establish an outgassing specification for HVM testing, and continued photoresist cycles of learning.

Figure 5. Recent results of seven EUV resist suppliers.

Figure 5 shows what SEMATECH perceives to be the shortfalls in materials capability as it relates to the introduction of EUV resist into manufacturing. These shortfalls represent opportunities for joint RMDC materials partners work to enable EUV imaging capability.

The RMDC is currently participating in several focused projects with it members. Two projects are studying etch and smoothing techniques as a means to mitigate LWR/LER problems. Another key project, which has been presented at a number of conferences, is investigating surface interactions. SEMATECH is working closely with CNSE to gain more insight into surface interactions and thin film effects as they relate to EUV lithography.

Conclusion  

The mission of the RMDC is to partner with lithography material makers to drive the successful development and implementation of materials to support future lithography patterning needs. The RMDC is a new industry model to address the challenges faced by materials suppliers in materials development and to mitigate significant investments in exposure tools and related infrastructure. It provides the framework for a successful partnership among materials suppliers, chip manufacturers, and university researchers to advance resist materials while sharing the cost of the required development infrastructure. In addition to the eight SEMATECH and three SEMATECH Lithography Program members participating in the RMDC, five materials suppliers have joined the RMDC as Associate Members.

References:
[1] International Technology Roadmap for Semiconductors; http://public.itrs.net/.
[2] http://www.sematech.org/corporate/news/releases/20040707.htm
[3] J. Meute, G. Rich, S. Hien, K. Dean, C. Gondran, J. Cashmore et al., Proc. of SPIE 4691, 724 (2002).
[4] K. Lowack, A. Rudack, K. Dean, M. Malloy, and M. Lercel, Proc. of SPIE 6151, 61512U, (2006).
[5] P. Naulleau, C.N. Anderson, L.-M. Baclea-an, D. Chan, P. Denham, Simi George et al., Proc. of SPIE 7636, 76361J-1-9 (2010).
[6] O. Wood II, D. Back, R. Brainard, G. Denbeaux, G. Goldfarb, F. Goodwin et al., Proc. of SPIE 6517, 65170U (2007)
[7] C. Koh et al., International Symposium on EUV,(2009) Prague, Czech Republic
[8] P.P. Naulleau, C.N. Anderson, L.-M. Baclea-an, P. Denham, Simi George, K.A. Goldberg et al., Proc. of SPIE 7271, 72710W-1-11 (2009)
[9] C. Koh, J. Georger, L. Ren, G. Huang, F. Goodwin, S. Wurm et al, Proc. of SPIE 7636, 763604 (2010).
[10] W. Montgomery and B. Rice, EUVL resist and materials development for the 22nm node and beyond, Solid State Technology 52, 12 (Dec 2009)

Warren Montgomery received his Masters degree in business from City U. and a BS in chemistry from Marist College and is manager of the Resist and Materials Development Center, SEMATECH, 257 Fuller Road, Albany, NY 12203 USA; ph.: 518-649-1000.

Stefan Wurm received his doctorate in physics from the Technische U. München, Germany and is associate director of lithography at SEMATECH.

Subscribe to Solid State Technology/Advanced Packaging. Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.