SPIE 2011: Where are we now with EUV?

by Franklin Kalk, Toppan Photomasks

Click to EnlargeMarch 2, 2011 – There are two sure bets at the annual SPIE Advanced Lithography symposium, which convened this week in San Jose. First: about half the presenters will show some rendition of the ITRS Roadmap. Couldn’t we just begin each session with a slide of the roadmap relevant to that session so we don’t collectively burn eight or ten papers in Roadmap Hades? Second: someone will point out (or complain) that EUV gets all the money (okay, only 90% of the money).

Given the mountainous expenditures, what are the main accomplishments in EUV? Well, for one thing, EUV gets its own SPIE Advanced Lithography conference, elevating it to the same status as optical microlithography (except of course in revenue generation), while the other next-generation lithography candidates congregate in the Alternative Lithographic Technologies conference. The EUV conference is quite well organized, with topical sessions covering the industry-consensus key issues (resist, source, mask), other key components, and ongoing validation exercises.

For EUV, there has been notable year-over-year progress. Two pre-production tools have now been shipped, and with more than a little luck we could get word of production tool shipments at next year’s conference. However, on a deeper and more sobering level, the initial topical issues sessions on sources and masks revealed that more than engineering is yet to be accomplished. (I’ll leave the resist sessions to commentators who can find existence in theoretical constructs.)

Sources: Impressive gains, but a long way to go

The source session of six papers was kicked off by Hakaru Mizoguchi of Gigaphoton, describing the EUVA’s effort to develop a Sn-droplet laser-produced plasma (LPP) source. A first-generation engineering test source has achieved over 100W of EUV radiation at intermediate focus (IF) from a 13kW CO2 driver (albeit at low duty cycle). This work revealed several areas requiring attention: conversion efficiency into EUV, debris mitigation, and CO2 laser load. Rather than just scaling the source, the group built a low frequency device (10Hz vs. the multi-kHz required for a production system) to test and verify basic improvements. Among the variables explored were Sn droplet size and droplet preheating with a Nd:YAG laser pulse. Conversion efficiency as high as 3.3% and impressive images of Sn "mist" (instead of chunks) were shown. Mizoguchi-san did not reveal how EUVA will achieve its next goal of 5% conversion efficiency, 75% duty cycle, and 250W at intermediate focus by the end of this year.

In LPP EUV sources, the primary mirror is a very efficient reflector at the CO2 laser’s 10.6μm wavelength, so most of the radiation at the intermediate focus is actually IR. Chimaobi Mbanaso of CNSE described a gas-based spectral purity filter, in which he injected SF6 (a strong absorber at 10.6μm) at the IF to reduce the IR flux. Of course, there’s no free lunch, so he also had to devise a barrier to keep the SF6 away from the optics. While this compromises the method a bit, he was able to reduce the IR flux by 50% while reducing the EUV flux by only about 10%. Mbanaso pointed out that a complete solution might combine his method with others.

Two papers concerning collector optics were presented. Xavier Bozec of Sagem SA described cooling methods for LPP and DPP (discharge plasma) source optics. The sources are fundamentally different: LPP favors collector designs with low angles of incidence, while grazing incidence designs are more appropriate for DPP sources. Each collector type presents a unique cooling challenge, and Bozec was able to demonstrate cooling efficient enough to produce negligible mirror deformation in both cases. Practicing his next career move to marketing, he pointed out the family resemblance of the DPP collector (a nested Wolter design) to a jet engine, his employer’s other main product.

Meanwhile, Giovanni Bianucci of Media Lario Technologies showed impressive data for the five sets of grazing incidence collectors his company shipped in 2010. The nine-shell design is capable of supporting 100W at IF with 25% effective transmission for a point source. He described the use of spot-size and far-field image measurements to gauge optical quality, although it would have been nice to see a graph that actually quantified image quality instead of using arbitrary units. Even though the optics haven’t been significantly stressed thermally, Bianucci asserted that they are capable of handling high powers.

Fariba Abreau described Adlyte’s high-brightness LPP source specialized for use in actinic mask qualification tools (blanks and pattern inspection, and print quality). Even with low conversion efficiency of about 1%, Abreau showed respectable source brightness in a compact (2-3m2) footprint that doesn’t require a dedicated hydroelectric power plant.

Purdue’s Ahmed Hassamein sent a powerful message about how far EUV sources still have to go — his compendium of fundamental studies on light-matter interactions showed much is yet to be learned (and, frankly, applied) before EUV sources are optimized. This kind of basic research is critical to solving the complex technical problems that face EUV. (One wonders why the EUV community didn’t tap the inertial confinement fusion community 15 years ago to gain access to fundamental methods…)

Masks: Finding all killer defects

Compared to the source session, the mask session was sparse: five papers, three of which contained new material. Simi George gave a nice paper quantifying EUV mask surface roughness and its relationship to speckle, describing the ability to produce varying degrees of roughness using Cr underlayers beneath the Mo-Si multilayer. Further, she showed that AFM-produced spectral roughness artifacts that weren’t borne out by other methods, such as angle-resolved scattering. Look forward to her follow-up work, in which she will pattern absorbers on varying roughness multilayer stacks and correlate line edge roughness to surface roughness.

Pei Yang Yan of Intel presented early data on an Alt-PSM EUV mask. The 180° phase shift was produced in a shallow relief pattern in the substrate under the multilayer. Since a mere 1nm of depth variation induces a huge phase shift, Yan patterned a TaN film wedge (i.e., variable thickness as a function of position on the mask) to produce the relief, deposited the multilayer and absorber stack, and then produced the final pattern in the absorber layer. She then found the correct phase target by measuring feature position vs. focus. There are issues, she noted, with constructing the phase edge under a thick multilayer and the critical importance of overlay. The Alt-PSM produced far greater focus margin than a binary mask, similar to what is observed for long-wavelength lithographies.

Takashi Kamo of Selete showed good progress in defect tracking through the blank, mask, and printing processes. The basic issue for years has been that defects found in printing on wafers aren’t always found in blank inspection or mask pattern inspection. Employing the latest EUV inspection algorithm from NuFlare, Kamo-san asserted that all killer defects are found with blank and/or mask inspection, and that all print-only defects were particles that fell onto the mask after it was inspected.

For EUV to enter high-volume manufacturing, the source must be robust, powerful, and reliable. On the other hand, mask defect management is more nuanced because the first EUV users (memory manufacturers) are somewhat defect-tolerant. Thus, mask defect improvement can follow an incremental roadmap, with plenty of learning after HVM begins. The same luxury doesn’t exist with the source, however — and as demonstrated at this year’s SPIE sessions, even the fundamentals of pulse optimization don’t appear to have been fully addressed.

So, are we there yet? For the most part, EUV is beyond the "science project" stage — but it still has a long way to go.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.