Asian semiconductor packaging specialist orders SPTS etch, PVD and CVD technologies for TSV

April 26, 2011 — SPP Process Technology Systems (SPTS), plasma etch, deposition, and thermal processing equipment manufacturer for the micro-device and semiconductor industry, won a multi-system order for its Sigma PVD, Omega Etch and Delta CVD wafer processing systems from a leading outsourced semiconductor assembly and test (OSAT) provider in the Asia-Pacific region.

The systems will be used to create through silicon via (TSV) structures for 3D IC packaging. SPTS’ customers include many of the top 10 OSAT companies such as STATS ChipPAC and SPIL.

SPTS’ experience in deep silicon and complementary etches to form the via, physical vapor deposition (PVD) for metal barrier and seed liner, and chemical vapor deposition (CVD) to create the dielectric isolation layer, combine to produce an optimized TSV. This integrated process capability provides an accelerated path to low-cost and high-yield manufacturing, reports SPTS.
 
"SPTS won this multi-system order through data sharing, on-wafer demonstration and customer references," said Kevin Crofton, chief operating officer and managing director of the Single Wafer Division.

The Sigma fxP TM is a single-wafer cluster tool designed for high-volume PVD processing, supporting various process chamber configurations and combinations to address various applications. Deposition process modules are based on a standard design that enables simple technology upgrades and wafer size conversions. Key applications for the Sigma fxP TM include very thick Al alloys for power device and next generation CMOS bondpads, ionized and conventional PVD for 3D-IC and wafer level packaging (WLP), and highly uniform aluminium nitride (AlN) for RF-MEMS devices.
 
SPTS’ Omega etch systems are a suite of single-wafer etch process modules designed for various applications. The Omega Deep Reactive Ion Etching (DRIE) process modules provide production-worthy process capability, with high throughputs and tilt control for Bosch process silicon etching used in MEMS and 3D-IC/Through-Silicon-Via (TSV) manufacturing. The Omega Inductively Coupled Plasma (ICP) process modules offer a range of compound semiconductor etch processes comprising GaAs, GaN, GaP for LEDs and high frequency RF devices, and Omega APS is focused on etching dielectric and low volatility materials, relevant to a variety of applications within MEMS, LEDs and TSV markets.

Delta PECVD Systems offer productive, single-wafer processes for deposition of dielectric films on wafer sizes up to 300mm. The PECVD chamber is supported by all SPTS cluster platforms and also by the Versalis fxP hybrid cluster system. A single chamber design supports multiple wafer sizes. Digital control of critical hardware components gives precise and repeatable process performance across a range of applications, with a platen design enabling <200°C deposition temperatures. Key Delta applications include ultra-uniform silicon nitride for GaAs RFIC capacitor, low temperature dielectrics for advanced packaging, and tuned-stress films for MEMS.
 
SPP Process Technology Systems was established in October 2009 as the vehicle for the merger of Surface Technology Systems and acquired assets of Aviza Technology. The company is a wholly-owned subsidiary of Sumitomo Precision Products Co., Ltd., and designs, manufactures, sells, and supports advanced semiconductor capital equipment and process technologies for the global semiconductor industry and related markets. For more information on SPTS, please visit www.spp-pts.com

Subscribe to Solid State Technology/Advanced Packaging.

Follow Advanced Packaging on Twitter.com by clicking www.twitter.com/advpackaging. Or join our Facebook group

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.