Sokudo litho breakfast: Challenges for the 2Xnm node

by Serge Tedesco and Didier Louis, CEA-Leti

July 20, 2011 – The Sokudo lithography forum, held on Wednesday (July 13) of the SEMICON West 2011 show, reflected the evolution, the challenges and the development needs that lithography has to address for the 2xnm node. Following are some of the highlights of this event:

GlobalFoundries announced it has chosen 193nm immersion lithography with double patterning for the 20 nm node. It highlighted the difficulties of controlling CD and CDU for bright field masks, and proposed the introduction of negative tone development (NTD) to provide better control.

Nikon pointed out the scalability potential of 193nm high-NA immersion scanners using a double-patterning strategy as its choice for lithography extension. Indeed, 1.35NA scanners with new overlay, focus, heat management and lens aberration improvements will be able to address sub-22nm nodes with the pitch-division strategy by using spacer deposition. EUV is still on Nikon’s roadmap for the 16nm node, even if Nikon claimed that ArFi extension is its first choice.

An alternative option explored during the forum was maskless lithography. Mapper Lithography, a company based in the Netherlands, presented a status update of its development program. Two of its platforms have been shipped and installed in industrial clean rooms at TSMC (Taiwan) and CEA-Leti (France). The work around this second platform is shared within the IMAGINE program, launched in 2009 and led by CEA-Leti. The objective is to develop a cluster of 10 modules with 15.4m2 footprint allowing 100 wafers/hour (WPH) throughput.

ASML highlighted EUV lithography tool development and progress on its second-generation NXE platform, with overlay down to 4nm already achieved. Three different EUV sources are under evaluation from Cymer, Gigaphoton, and Ushio. ASML anticipates achieving a throughput of 125 WPH with 15mJ/cm2 resist sensitivity.

XTREME Technologies built a discharge produced plasma (DPP) source from a technology developed in 1997 by Fraunhofer ILT. The source principles have been highlighted. Complete integration with the EUV scanner has been demonstrated. No data on power has been provided, however.

SEMATECH highlighted the necessity of setting up strong collaborations between consortia and industry to overcome the remaining EUV challenges.


Serge Tedesco joined CEA-Leti in Grenoble to take charge of e-Beam lithography, and consequently all advanced lithography activities. Since 2003 he has managed CEA-Leti’s lithography strategy and programs as lithography program manager. Dr. Tedesco has authored or co-authored more than 110 papers in the field of lithography and is a program committee member of the major International lithography conferences. He has been involved in numerous European projects, both as project leader and expert.

Since joining CEA-Leti in 1985, Didier Louis has held a variety of positions in microelectronics research. In 2000, he served as the manager of the etching and stripping R&D laboratory, and from 2004 through 2007, he was deputy manager of the organization’s back-end-of-line (BEOL) laboratory. In 2008, he was named deputy manager of Leti’s Materials and Advanced Modules Laboratory, and public relations manager of the Nano-Electronic Division. Didier was named Leti’s international communications manager in 2010.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.