Model-based mask data prep using overlapping shots for 20nm devices

by Aki Fujimura, D2S and eBeam Initiative

Executive overview

As semiconductor devices push into the 20nm process node and beyond, new techniques are needed to extend the viability of 193nm immersion (193i) optical lithography. Process window (PW) — the accuracy tolerances necessary to produce viable chips on the wafer — is a primary concern. Poor PW results in poor yield. Aggressive optical proximity correction (OPC) techniques that aim to preserve sufficient PW have resulted in impractical photomask write-times. In response, several new technologies have emerged in recent years to speed e-beam mask writing throughput. The newest of these technologies is model-based mask data preparation (MB-MDP), which utilizes overlapping e-beam shots to enable complex OPC features for improving PW with fewer shots.


August 16, 2011 – E-beam mask writing technology has evolved rapidly to meet the demands of 20nm and beyond devices. Much of this work has focused on optimizing the mask using aggressive OPC, such as inverse lithography techniques (ILT) and source mask optimization (SMO). According to a recently presented SEMATECH trend analysis

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.