KLA-Tencor enlarges monitor-wafer suite

December 7, 2011 — Process control supplier KLA-Tencor Corporation (NASDAQ: KLAC) added to its SensArray portfolio of advanced wireless temperature monitoring wafers. The products use time-based, in-situ temperature monitoring to register process-environment effects on production semiconductor wafers.

The additions were developed with the aid of leading IC makers and OEMs. The EtchTemp-SE (ET-SE), ScannerTemp and WetTemp-LP products help monitor temperature information across the entire wafer surface under real process conditions. SensArray thermal information can be used to optimize manufacturing equipment performance and uptime, qualify tools, perform root cause analysis of process excursions, and track manufacturing trends.
 
ET-SE delivers temperature wafer monitoring during silicon etch processes, providing temperature measurements with a higher signal-to-noise ratio than alternative methods. ET-SE assists in matching front-end-of-line etch chambers and qualifying electrostatic chucks.

ScannerTemp allows highly accurate temperature monitoring of dry and immersion lithography systems that have temperature-sensitive overlay performance. With a flat, standard-thickness wafer format, it offers a sensor-to-sensor range of 0.03

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.