SPIE 2012: The Spring of EUVL

Dr. Vivek Bakshi, President of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference.

The SPIE Advanced Lithography EUVL Conference is usually held close to spring, but was pulled into week of Valentine’s Day this year. Fittingly, 2012 may be the year of EUVL’s early spring. This year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15. (Samsung wants to start using EUVL in 2013 and Intel is planning to use EUVL its fabs in 2015). Discussions are now more focused in the product development area.

Status of EUVL

The EUVL conference started with an invited talk from IMEC, which presented process development data from ASML’s NXE3100 beta level EUVL scanner. The scanner’s throughput is now 5 wafers per hour (WPH) for ~7 W of power. They are patterning at 16 nm half-pitch (HP) using dipole illumination, and can get 5 nm machine-to-machine overlay in order to mix and match with another ASML 193 immersion (193i) scanner.

Scanner Development

ASML now has six NXE3100 scanners operating in the field, five of them in process development and one in qualification. Line and space (LS) of 16 has been achieved with a 33 mJ resist. These scanners currently add 0.05 particles per reticle pass (or 1 particle in 20 passes). This recent 20x improvement is critical, since an EUVL mask does not have a pellicle.

ASML plans to ship the HVM version of their NXE3300B scanner in the second half of 2012. For this tool, numerical aperture (NA) will go to 0.33 from 0.25, with 4% flare optics. This tool has specs of > 69 WPH, and I am sure source availability will drive this number. ASML also presented their EUVL roadmap to 10 nm HP and below, utilizing double patterning (DP) or a switch to 6.8 nm.

Source Progress

EUV source remains the key driver of scanner throughput and EUVL’s introduction into HVM. Current power reported for NXE3100 in the field is 7W for Xtreme and 10W for Cymer. Gigaphoton has a 7W source in their lab and plans to ship a higher-power source later this year for integration. Xtreme, which has shipped a 20W source for NXE3100B and is working on 50W-100 W sources, described in detail their new electrode design for high-power sources.

Cymer is working to upgrade its current sources in NXE3100 to 20W and plans to have 50W sources in Q3 this year. The 20W upgrade is based on a double pulse approach, which has been proven effective for many years. So this upgrade should be successful, although perfectly aligning two lasers, both pointing at 30 microns droplets moving at the speed of 50 k Hz with 100% accuracy is no small task.

Gigaphoton plans to ship 50 W sources in Q4 this year. They presented 4% conversion efficiency (CE), the highest so far for laser-produced plasma (LPP), and progress on their debris mitigation design. I am looking forward to their sources in the field this year.

In terms of delay in source technology development, the main issues for LPP seems to be debris mitigation and droplet stability, plus engineering to ensure 24 x 7 operation. For discharged-produced plasma (DPP), the issue is thermal mitigation.

Two years ago, ASML introduced “exposure power” as a new way to describe source power. The term is based on the concept that due to plasma stability and losses from spectral purity filter (SPF) use, only half of EUVL source power at intermediate focus (IF) reaches its target. In other words, 100W of source power at IF produces only 50W of usable “exposure power.” I believe that as sources make progress, the gap between IF and exposure power will get smaller. However, the difference was not made clear in presentations, leaving us to guess whether the 20W or 50W that suppliers plan to have in the second half of 2012 is exposure power or IF power.

EUVL and the Art of Auto Repair: EUVL Success Stories

Last year my friend Bob tried to fix a small issue with my Suburban, the auto with the now famous “EUVL” license plate. Bob is always working on cars and expected this repair to be a piece of cake. But after several tries he gave up, saying: “Nowadays cars are too complex, now you need a computer to fix anything.” If only it had been an older model, he would have been able to help.

Not too long ago, the EUVL alpha scanner was criticized by an expert as looking “like you rolled an electromagnet through an automotive junkyard,” while others called it a “science experiment.” Now that early prototype has evolved into a smooth machine, although still a slow one. Stepping away for a minute from the issue of low throughput due to source power, I do not hear any complaints about the scanner, which is a very complex machine in itself. Lithography in vacuum seemed impossible to many not too long ago. This is an incredible machine: a scanner that was never supposed to work at all now performs so well that the tool itself is apparently not an issue.

Unfortunately, I did not hear any praise of ASML (who integrate sources but do not build them) for all that they have achieved so far. Maybe that’s because this year’s SPIE AL seemed pretty tense. One of my colleagues, who has been coming to these meetings for years, said he did not hear anybody laughing or joking over the entire three days. Instead, people were walking around as if the world was going to end in 2012, per the Mayan calendar.

When I started in the EUVL business, sources were not yet the main challenge, but optics contamination, optics quality and masking without a pellicle were said to be limitations that would doom EUVL. Now these former threats have been tamed. Yes, the technology is lot more complex, but increasing complexity is part of both life and a next-generation, better machine.

Comparing Apples to Oranges: Looking Beyond Throughput to System COO

EUVL has attracted a fair bit of criticism, and I believe that comes from its being the front runner of NGL technologies. One of most frequent criticisms of EUVL is throughput. While 193i scanners can process 200 wafers per hour, EUVL is at 5 WPH and is expected to climb to just 40+ this year. So how can EUVL ever compete with immersion Lithography?

The answer lies in the simple fact that we need to consider the throughput and cost of ownership (COO) of the entire lithography module, rather than just looking at the exposure tool. Double patterning has more than doubled the cost and processing steps, resulting in some tools with low throughput. A COO model accounts for this. And even ASML, which sells lots of 193i scanners, has said that it is much more cost-effective to go with EUVL. So the real question we should ask is, at what throughput will the COO of EUVL become the same or less than 193i? Although I have not seen an analysis of this question, I have heard that 40 WPH throughput will be a favorable turning point for EUVL, and at 60 WPH most chip-makers will adopt it in HVM. We are not at these throughputs yet, but how far along are we really? Answers will depend on our assessment of rate of progress of EUV Sources.

Critical Issues: Do We Know How to Make HVM EUV Sources?

The most positive aspect of EUV source status is supplier commitment. Gigaphoton and Cymer, who also supply light sources for 193 nm lithography, are investing heavily in EUV source development. Ushio, another light source supplier, is also very committed and investing in the source business. However, to move to next generation sources, we may need innovation and new technology in addition to supplier commitment.

At the November 2011 Source Workshop, EUV experts gathered to discuss how we can get to higher power levels for EUV sources. It was time for honest introspection. Konstantin Koshelev, whose lab built original prototypes for Sn DPP, delivered a plenary talk entitled, “Do We Know How to Make HVM EUV Sources?”

The current design of DPP introduces high thermal load on electrodes, and droplet-based tin delivery systems introduce debris and stability issues which create great engineering challenges. Koshelev’s proposals for new DPP and LPP design, along with many other ideas presented in the workshop, need careful consideration and support for further exploration. Based on current publications, I see only Gigaphoton actively involved in R&D to continue looking for new ideas for their next generation sources.

How Do We Address the Critical Source Power Gap to Bring EUVL to HVM as Soon as Possible?

EUVL has attracted attention as it is a multi-node technology, but it will need more and more power at coming nodes. I can get behind 100W or even plans to get 150W. However, I cannot see how the 250W or 350W levels on EUVL roadmaps can be achieved without new technical approaches.

Leading consortia have left source development to the suppliers, which are great engineering firms but not necessarily places for developing new technology. Even after years of delay, there is no change in policy to address this critical gap. Lots of money gets spent every year to help suppliers make progress on various EUVL-related issues, but almost nothing goes to develop EUV sources which are #1 issue for EUVL.

This is surely insanity. You will not hear a supplier say, “I do not have the technology for next generation sources and need help," but many will claim, "I have the technology and just need more money and time.” How can a supplier do otherwise, when their competitor is promising "lots of power tomorrow with proven technology?"

Our industry needs to step up and say, “Enough of this. Let’s generate more technical solutions and make them available to the source suppliers so they can increase source power.” With a steady stream of funded innovation flowing from Universities and National Labs, I am confident existing suppliers will be able to take care of source power needs.

Here are my ideas on what needs to be done to increase and sustain EUVL technology development:

(1) Create a consortium that focuses on development of next generation EUV source technology. We need innovative solutions to address debris, thermal management, low conversion efficiency, out-of-band (OOB) radiation, and plasma stability to take us to 500W source designs. I believe that a $10M annual budget for three to five years is needed for such studies. We can have Small Business Innovation Research (SBIR)-type programs where solutions that meet technical criteria move on the next stage to receive additional funding from the consortium and industry. Which existing consortium can take on this challenge?

(2) Although EUV double patterning combined with optical proximity correction (OPC) is suggested for taking EUVL scanners to the end of the Roadmap, the power required is too high at 13.5 nm but can be reduced by changing the wavelength to 6.7 nm. Work has already started on 6.7 nm optics, sources and resists (see workshop proceedings at www.euvlitho.com), but we need to put a lot more emphasis on this wavelength switch and be ready to provide solutions that can produce commercial products.

(3) Perhaps most important is that Nikon has slowed down its EUVL development work. They, like ASML, developed two alpha level scanners but chose Xe DPP sources over ASML’ choice of Sn DPP sources. Xenon plasma source size is greater than a tin plasma source; hence, Nikon tools hit power limits sooner than ASML’s alpha demo tool (ADT).

 

Currently, Nikon has programs in optics and contamination, but they are not developing an EUVL scanner. They are very capable of doing so, but will not be able to catch up with ASML if they wait any longer. I have been known to say that you do not get a second chance in this business. Is it good for the computer chip industry to have only one supplier for its most critical tool? As this question directly relates to corporate bottom lines, chip-makers should be concerned about answering it.

 

Lotus Bet Update

During the conference, a couple of colleagues congratulated me after they incorrectly assumed that I already have the Lotus keys from my wager with Litho Guru Chris Mack. (Chris took the dubious side of a bet that there would be no papers presented on EUVL in 2011, or that EUVL would not be in HVM by 2013-14). There appeared to be no shortage of EUVL papers in this year’s conferences, and audiences spilled over from EUVL sessions until they were moved to larger rooms. I am still confident that EUVL will be in HVM soon. By the way, the Litho Guru was praised highly by at least one supplier for his contributions to the development of EUV resists, and for his help in reducing line edge roughness (LER), a critical challenge of EUVL.

As a leading litho expert, I am confident that Chris and many others in the 193nm litho community can continue doing much to help EUVL, especially in the areas of LER and EUVL resist development. I look forward to our becoming “one big, happy family” of litho experts as we work together on the latest optical lithography that will take us to the end of the Roadmap.

 

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.