February 13, 2012 — The eBeam Initiative, a forum for new semiconductor manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium, this week in San Jose, CA.
Roadmap
The roadmap will identify key goals for mask accuracy and write times, and cost strategies at the 20nm and 14nm logic nodes. The eBeam Initiative will also highlight new ecosystem proof points from members on ebeam technologies that improve mask critical dimension uniformity (CDU) at advanced nodes via circular ebeam shots. This technology is enabled by model-based mask data preparation (MB-MDP) and production mask writers.
At 20nm and below, mask assist features, as well as some main mask features, fall below 80nm wide. This challenges accuracy in lithography mask designs, making CDU on the mask more critical. Mask process correction (MPC), overlapping variable-shaped beam (VSB) shots, circular shots, MB-MDP, dose modulation, mask-wafer double simulation, and other e-beam technologies can overcome these challenges and improve mask accuracy with shorter mask write times.
JEOL, a member of the eBeam Initiative and a manufacturer of eBeam lithography equipment, announced in 2010 its intent to support eBeam circular shots. JEOL is now accepting customer orders for machines that write circular shots for improved mask accuracy and shot count. Mask accuaracy is "essential" whether the semiconductor industry migrates to extreme ultra violet (EUV) lithography, or continues to use optical lithography, said Wataru Wakamiya, corporate officer and general manager of SE Business Unit, JEOL.
During 2012 and beyond, Initiative members will collaborate on several proof points demonstrating the progress and readiness of eBeam technology. The eBeam Initiative plans to highlight the use of MB-MDP to improve CD uniformity via overlapping circular shots at SPIA Advanced Lithography. At 2Xnm and 1X nodes, shot count and accuracy are paramount, said Aki Fujimura, CEO of D2S, Inc., managing company sponsor of the eBeam Initiative. "Mask makers must continually balance the need for accurate masks that result in high wafer yield with the long write-times and high costs of producing the complex masks that provide the needed accuracy." The eBeam collaboration shows that e-beam technologies — overlapping shots, and overlapping circular shots in particular — can reduce shot count while making more accurate masks.
eBeam Initiative members continue to make progress on eBeam direct-write lithography. A collaboration between eBeam Initiative members CEA-Leti and Tela Innovations on hybrid lithography using optical and eBeam will be presented at the SPIE Advanced Lithography Symposium
New member
Aselta Nanographics of Grenoble, France, has joined the eBeam Initiative. Aselta provides data preparation and proximity effect correction solutions for eBeam technologies to improve mask CDU and reduce writing time by using concurrent dose modulation and geometry optimization. Current members and advisors include Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Cadence Design Systems, CEA-Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, e-Shuttle, Jack Harding from eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, HOYA Corporation, IMS CHIPS, JEOL, KLA-Tencor, Magma Design Automation, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, SoftJin Technologies, STMicroelectronics, Synopsys, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Xilinx.
A white paper commissioned by the eBeam Initiative, "MB-MDP Enables Circular Shots to Improve Mask Accuracy As Well As Shot Count," will be made available after Tuesday, February 14 on the eBeam Initiative website. The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) lithography technologies. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.
Pingback: eBeam Initiative announces key educational themes for 2014 | Anchor Science LLC