GLOBALFOUNDRIES signals 32nm yield success with 250k wafers from Fab 1

March 22, 2012 – BUSINESS WIRE — GLOBALFOUNDRIES’ Fab 1 in Dresden, Germany has shipped 250,000 semiconductor wafers based on 32nm high-k metal gate (HKMG) technology. AMD commented that it will move ahead with 28nm at GLOBALFOUNDRIES.

On a unit basis, cumulative 32nm shipments for the first 5 quarters of wafer production are more than double that achieved during the same period of the 45nm technology ramp, despite the integration of a number of new and complex elements in design and process technologies at the smaller node.

"In just one quarter, we were able to see more than a doubling of yields on 32nm, allowing us to exit 2011 having exceeded our 32nm product shipment requirements. Based on this successful ramp of 32nm HKMG, we are committed to moving ahead on 28nm with GLOBALFOUNDRIES," said Rory Read, president and CEO, AMD, a close partner of GlobalFoundries that recently divested its stake in the foundry for a new wafer supply relationship.

Early yield learning on 32nm HKMG was significantly challenging early in 2011, said GLOBALFOUNDRIES CEO Ajit Manocha, outlining organizational and operational changes made in H2 2011 to increase production and yields. GloFo’s 28nm technology uses the same HKMG implementation as 32nm, Manocha added.

At Fab 1 in Dresden, GLOBALFOUNDRIES recently completed construction of an additional wafer manufacturing facility designed to add capacity at 45nm and below, which has the potential to increase the overall output of the Fab 1 campus to 80,000 wafers per month once fully ramped. The expansion project is adding more than 110,000 square feet of cleanroom space to the site. More than 50% of Fab 1’s production is now on HKMG technology. In addition to the 32nm technology, the company’s 28nm HKMG offerings are qualified and ready for design-in.

GLOBALFOUNDRIES is a full-service semiconductor foundry with a global footprint. For more information, visit http://www.globalfoundries.com.

Subscribe to Solid State Technology

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.