SEMICON West preview: Metrology, inspection, and process control products

June 28, 2012 — Following are some of the process control, device inspection, defect detection, and other metrology and test products that will be on display at SEMICON West 2012, July 10-12 at the Moscone Center in San Francisco, CA.

More previews:

Wafer fab and handling products

Packaging products

450mm WaferSense auto vibration system

CyberOptics Semiconductor added a 450mm form factor to its WaferSense Auto Vibration System family. Users put the wireless, wafer-like product through semiconductor fabrication processes to measure vibrations of wafer transfers in x, y and z dimensions. It can be used to observe and optimize wafer, cassette, SMIF and FOUP motions without exposing process areas to the environment. The data helps establish yield-based vibration standards for equipment, identify vibration sources, and set acceptable acceleration parameters for equipment. Companion vibration monitoring software allows engineers to set  low, high, and band pass filters of equipment vibration frequencies to troubleshoot for vibration-related contamination. The software allows engineers to collect and display acceleration data wirelessly to see the effects of adjustments in real-time. CyberOptics Semiconductor, South Hall, Booth 2406.

Low-cost cryogenic probe station

Lake Shore Cryotronics Inc. will feature its range of cryogenic and cryogenic-free probe stations. The Model TTPX cryogenic probe station is a lower-cost cryogenic micro-manipulated probe station, used for non-destructive testing of devices on full and partial wafers up to 51mm (2”) in diameter. The TTPX measures electrical, electro-optical, parametric, high Z, DC, RF, and microwave properties of materials and test devices. A wide selection of probes, cables, sample holders, and options enable customization for specific measurement applications. Other models are available to meet a variety of parameters, including magnet field, maximum number of probe arms, standard temperature range, high and low temperature options, maximum sample size, vacuum, and sample stage rotation. Lake Shore will also be highlighting the new lower-cost cryogen-free probe station, the Model CRX-6.5K. Lake Shore Cryotronics Inc., Booth 6458.

Hall effect measurement system

Lake Shore’s new 8400 Series Hall Effect Measurement System (HMS) uses AC Hall effect measurement to support researchers exploring the electronic and magneto-transport properties of low mobility electronic materials. The 8400 Series can measure Hall mobilities down to 10-3cm2/Vs, suiting solar cell, organic electronics, transparent conducting oxides, III-V and III-VI semiconductors, magnetoresistors, and other materials. Lake Shore Cryotronics Inc., Booth 6458.

X-Ray diffractometer and reflectometer

Jordan Valley’s Delta-X is a new-generation flexible X-ray diffraction instrument for materials research, process development, and quality control. Featuring fully automated source and detector optics with a horizontal sample mounting, the system can switch between standard and high resolution X-ray diffraction, and X-ray reflectivity modes under full computer/recipe control without the need to manually change configurations. Measurements can be run partially or fully automated, with user-customizable scripts handling the routine work. It is also possible to run the tool in a completely manual mode, to enable the development of new methods or to investigate new materials. Analysis of the data can be fully automated as part of the measurement routine, or analyzed off-line if required. Using RADS and REFS in automated mode, developed for semiconductor manufacturing lines, allows routine analysis to be performed and reported without any user intervention. RADS and REFS can also be installed off-line to allow more detailed analysis. It features high-precision sample positioning and scanning with 300mm Eulerian cradle and full 300mm wafer horizontal mounting and mapping. Pole figures and residual stress measurements are possible due to 100° tilt (Chi) and unlimited azimuthal rotation (Phi). Jordan Valley Semiconductors Ltd., Booth 2141.

Transmission X-ray topography system

The JV QC-TT is a dedicated quality control tool for non-destructive detection of crystalline defects in semiconductor wafers. It inspects Si, GaAs, InP, Sapphire, SiC and other substrates up to 300mm wafers. The tool supports dual resolution for fast detection and detailed examination of defects. Defects include edge defects, fault lines, slip lines, point detects, and embedded defects. Full wafer scan or selected areas scans are possible. X-ray topography can also be used to detect the first “known good wafer” in the ingot. The QC-TT eliminates the “defect-etching” process and the use of hazardous etching chemicals, with faster throughput. The JV-SIA software suite supports reporting on defects and creating defect maps and lists. Jordan Valley Semiconductors Ltd., Booth 2141.

In-line 450mm X-Ray imaging system

The JV SENSUS 450mm/300mm in-line system detects crystalline non-visual defects (NVD) such as edge defects, fault line & slip lines within substrate or product wafers using Transmission X-Ray diffraction. The product solves key issues in the use of expansive 450mm wafers in manufacturing environment, where the thermal stresses on larger wafer are much higher and the wafers are subjected to many more handling steps. Metrology can cover fast selected region or full wafer scan options. Cracks observed in the X-ray images can help determine if the wafer will break during annealing. It can also be used to search for the first “known good wafer” in the ingot. A slice is taken from the ingot and a measurement performed on the JVSenus. The absence of slip and other defects indicates the good region of ingot which can be used. The tool uses JV-SIA software. Jordan Valley Semiconductors Ltd., Booth 2141.

Super-resolution digital microscope

KEYENCE’s VHX Series Digital Microscope integrates advanced zoom optics with a CCD camera and 17” display, light source, controller, and analysis/reporting software. It offers a magnification range from 0.1x – 5000x. Many lighting techniques are also supported including bright and dark field, transmitted, polarized, and differential interference observation. A color filter wheel allows users to choose a specific wavelength (red, green or blue) of light for their samples. A Super Resolution mode combines the blue filter with KEYENCE’s pixel shift technology, capturing images with 25% better resolution. The VHX-2000 can be equipped with a motorized XY stage along with motorized Z-axis lens control. Users can adjust movement in all three axes by using an included control pad. The Image Stitching function can now be completed with just the push of a button, and at much higher speeds, to produce up to a 20,000 x 20,000 pixel image that expands the viewing area by up to 200 times. Automated measurement functions simplify measurement tasks and help to remove variation between different users. The system also has the ability to save a portion of the image/measurements as a template to be used to measure future samples. KEYENCE, Booth 6651.

3D laser scanning microscope

The new KEYENCE VK-X Series 3D Laser Scanning Microscopes combine the capabilities of SEMs and non-contact roughness gauges with the simplicity of an optical microscope. It boasts 0.5nm Z-axis resolution with a magnification range spanning 200x to 24,000x. Usability and ease-of-use have been improved with the addition of the AI-Scan function, allowing users to easily image and measure a target. A short-wavelength laser scans across a target to provide non-contact profile, roughness and thickness measurements, even on targets with highly-angular surfaces.  By combining the laser with an industry-leading, 16-bit photomultiplier, the VK-X can obtain an image and measurement on nearly any type of material, as well as thickness measurements on transparent films and coatings. In order to simplify the operation of the VK-X, the AI-Scan function was developed to automate the scanning process.  Users can simply place their sample on the stage, and by clicking a single button, the system will automatically adjust the sensitivity of the photomultiplier, set the upper and lower limits of the scan range and re-scan the target as needed to make sure all of the necessary information was captured.  By using this function, even inexperienced users can quickly and easily obtain accurate measurement data and high-resolution images. Additional features include a new WIDE-Scan function that is 8x faster than conventional laser scanning microscopes, while also improving the quality of the captured image.  A high-speed auto-focus algorithm has been incorporated into the system, and images can be captured at up to 21.6 megapixels.  All of the measurement functions from our previous VK Series product line have been extended to the VK-X, including the ability to measure the thickness or profile/roughness of transparent materials. KEYENCE, Booth 6651.

RoHS, WEEE & REACH testing & certification

Manufacturers of electrical and electronic equipment who export to the European Union must comply with the REACH (Registration, Evaluation, Authorization, and Restriction of Chemicals), WEEE (Waste of Electrical and Electronic Equipment) and RoHS (Restriction of Hazardous Substances) Directives, or risk losing access to market. TÜV Rheinland provides consulting, testing and labeling services for manufacturers and importers on all matters to do with the requirements of these directives to help companies gain European approvals. TÜV Rheinland, Booth 1825.

Top-load burn-in socket

Aries Electronics is introducing a top-load burn-in socket for new IC pin-out designs. It is delivered in 4 weeks at about $200 tooling costs, compared to other options that take up to three months and run over $30,000. Based on a modular design, the new socket can easily be configured to accommodate devices on 0.3mm-pitch and above, and can be used with BGA, µBGA, QFN, LGA and bare dies as well as a number of other devices like those used for MEMS testing with high acceleration rates. The top load socket helps reduce device damage for equipment under test (EUT) by minimizing several points of over-compression and errors in device insertion.  Devices are loaded and unloaded on the top of the socket without compressing the socket or holding it down.  When engaged, the socket also avoids over-compression with pressure pads that cover a larger surface area to distribute force on the device. A built-in hard stop also reduces insertion force on the PCB. The socket comes in a top load configuration, ready for device insertion, so no special tooling or push plates are required. The manual dual latches can be removed to allow the socket to open when the force is removed for efficient and quick testing of multiple devices. They are mounted and removed from the test board via 2 stainless steel alignment pins. The compression spring probes leave minimal witness marks on the bottom surface of the device pads for increased reliability. Compression spring probes are constructed of heat-treated beryllium-copper, and plated with a minimum of 30 micro inches gold per MIL-G-45204 over a minimum of 30 micro inches nickel per SAE-AMS-QQ-N-290. Contact forces are 15g/contact on a 0.30mm to <0.40mm pitch; 16g/contact on a 0.40mm to <0.50mm pitch and 25g/contact on pitches of 0.50mm or larger.  Estimated contact life is a minimum of 500,000 cycles and operating temperature is -55° to +150°C. Aries Electronics Inc., North Hall, Booth 6063.

 

“Smart” spectrometer

The Exemplar from B&W Tek is a miniature spectrometer with an embedded processor to enable on-board data processing, including averaging, smoothing, and automatic dark subtraction. In addition to these “smart” capabilities embedded directly into its compact form factor, the Exemplar also features “SuperSpeed” USB 3.0 communication with a data transfer of 900 spectra per second. Multichannel capabilities deliver an ultra-low trigger delay of 14 nanoseconds and a gate jitter of +/-1 nanosecond. The ability to control the CCD exposure time to within one microsecond allows users to have unparalleled control over the spectra’s signal-to-noise ratio. It is ideal for demanding applications such as high speed binning & sorting, reaction kinetics, and process monitoring. With the ability to support up to 16 simultaneous channels, the Exemplar is also the perfect solution for simultaneous multichannel analysis, such as multipoint sampling, and laser induced breakdown spectroscopy (LIBS). B&W Tek, Booth 2241.

Bond test tool

The Nordson DAGE 4000Plus Bondtester meets the requirements of emerging test applications including ribbon pull, pad cratering using hot pin pull, bend and fatigue testing. The 4000Plus bondtester uses the next-generation Paragon software providing semi-automatic test routines, automatic GR&R calculation, unique database search engine wizard and superior data reporting. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

X-ray inspection system

The Nordson DAGE XD7600NT Diamond X-ray Inspection System features the Nordson DAGE NT maintenance-free, sealed transmissive X-ray tube, providing 0.1 µm  feature recognition and up to 10 W of power, together with the 2 Mpixel XiDAT3 digital image detector makes this system the choice for the highest performance and highest magnification imaging tasks. The vertical system configuration, with the X-ray tube sitting below the isocentric ‘move and tilt’ of the detector, all controlled through the simple, joystick-free, ‘point and click’ operation of the Nordson DAGE Image Wizard Software provides the safe and collision-free inspection required for production applications. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

Watch the Solid State Technology website for many more product previews of SEMICON West.

Visit the Semiconductors Channel of Solid State Technology!

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.