EUVL workshop focuses on source power, timing

Dr. Vivek Bakshi blogs about the recent 2012 International Workshop on EUV Lithography.

At the recently concluded 2012 EUVL Workshop (held June 4-8 in Maui, HI), attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into high-volume manufacturing (HVM). The Workshop’s low-key, R&D-focused setting allowed detailed discussions and a fresh overall look at many of the most pressing EUVL manufacturing issues.  

Keynote talks

Yan Borodovsky, Director of Advanced Lithography and Senior Fellow at Intel Corporation, gave the first keynote talk of the Workshop.  He identified reduction of edge placement error (EPE) as the key benefit expected of EUVL over competing optical techniques. He also pointed to a need for significant OPC infrastructure improvement for correcting 3D mask effects. Borodovsky believes there is no benefit in developing higher resolution EUVL through numerical aperture (NA) > 0.33 increase or wavelength reduction to (λ) = 6.8 nm unless resist stochastic effects can be reduced ~2X from current best levels of chemically amplified resist (CAR) platforms.

He estimated that 60 mJ/cm2 resists will be needed for printing all of 20 nm contacts on the chip with 5% exposure latitude at 98% probability in order to overcome contact exposure dose uncertainty due to stochastic effects introduced by EUV photons shot noise. Because ASML’s future tools utilizing 15 mJ/cm2 resist will require 250 W of source power for throughput of 100 wafers per hour (WPH), he expects power requirements will rise to 1000 W in order to enable defect free contact printing at EUV insertion or closely thereafter. Of course, new ideas and concentrated efforts are needed to develop and implement such sources, and he encouraged us to also look at alternate source technologies.

Soichi Inoue, general manager of EIDEC, a consortium for developing EUVL infrastructure, outlined what he called “persistent efforts to overcome challenges of EUVL.” EIDEC believes that EUVL is the mainstream technology from the cost and extendibility viewpoint. He said source power needs to increase dramatically and reach set targets (main and pre-pulse laser, debris mitigation, droplet generation, IR reduction) with sufficient stability. He added that resist and mask inspection tools, now in the precompetitive arena, could be more effectively developed through a consortium approach.

EUVL insertion and EUVL extension panel discussion

A panel discussion on EUVL insertion and extension was moderated by Sushil Padiyar of AMAT. Borodovsky noted that Intel will adopt EUVL when yields and cost of ownership (COO) compare well with those of 193 nm immersion (193i) technology.  In his opinion, complementary cuts and via patterned by EUVL for logic and DRAM contact lithography will drive early EUV HVM adoption. Even if the initial cost is large, investments can be recovered if the tools can be used for a long time.

Takeshi Komo of Toshiba focused on mask-related issues as barriers to EUVL implementation. He thinks that an aerial image measurement system (AIMS) for EUV will not be available at the early stage of HVM. Thus we will use 3D-scanning electron microscopy (SEM) and litho simulation as alternatives. He also noted the need for mask R&D for high NA and Beyond EUV (BEUV) wavelengths.

Pawitter Mangat of GlobalFoundries believes that 2016 is the earliest opportunity for HVM insertion, with 2017 most probable for his company. He also believes the need to match productivity with 193i tools for EUVL insertion and sees success as dependent on source power.

The Workshop presented its Outstanding Contribution Award was to EUV Technology, a company that has been making tools to support EUVL infrastructure development since 1997. The award was presented to President and CEO Rupert Perera by Greg Denbeaux of the University of Albany-SUNY, on the behalf of the Workshop. Greg mentioned his own positive experience with EUV Technology tools and shared positive comments from IMEC, whose engineers admire the creative design and simplicity of the supplier’s tools. Attendees voted to give the Workshop’s Best Poster Award to High CE Technology for HVM EUV Source, by Hakaru Mizoguchi and Shinji Okazaki of Gigaphoton. 

Other significant results:

  • Hiroo Kinoshita of the University of Hyogo, reporting on the feasibility of BEUV systems at 6.x nm, pointed that at BEUV wavelengths, transmission and penetration depth for BEUV CAR resists increase, but resist sensitivity decreases.
  • Takeshi Higashiguchi of Utsunomiya University reported conversion efficiency (CE) of 0.6% for gadolinium (Gd) plasma at 6.x nm, using low-density Gd targets. He believes that droplet generation for Gd, the leading source material for BEUV, may be difficult to achieve.
  • Shannon Hill of NIST reported that the carbon contamination rate of EUV optics may not increase proportionately with power. At higher power, however, carbon may be more difficult to clean with atomic hydrogen since the density of deposited carbon approaches that of graphite.
  • Perera of EUV Technology described differences in outgassing and carbon deposition for EUV resists subjected to electronic beam (e-beam) and EUV sources. His are the first results showing that resist outgassing is not the same for these two energy sources, thus challenging current e-beam based resist outgassing testing protocol.
  • Sergey Yulin of Fraunhofer gave the Workshop’s EUV Optics Technology review. He mentioned the loss of Ru, TiO2 and Nb2O5 cap layers in the atomic hydrogen based cleaning process for carbon removal due to high diffusion through cap material. He believes that the lifetime of EUVL optics remains an issue for the EUVL community.
  • Yuriy Platonov of RIT presented new reflectivity data for RIT’s collector optics. The reflectivity variation has improved to +/-0.5% PV across the area of ~400mm in diameter, with maximum reflectivity of 67% for the collector optics. For Illuminator optics, he showed an average 66% reflectivity. He noted the reported reflectivity of 49.8% is still the best measured value for RIT’s multilayer (ML) for 6.x nm.
  • Gigaphoton updated results of their Sn LPP source performance to 90 K Hz, 30% duty cycle, and 4.7% CE. This is highest CE reported to date for a commercial Sn LPP source.
  • Akira Endo of Waseda University and HiLASE Project shared data on reducing debris and increasing CE. He reported experimental data showing that picoseconds (ps) laser is better for pre-pulse than nanosecond (ns) laser. He pointed to the need to improve beam quality for 10 µm spot size focusing and reduction in pedestal in CO2 laser pulses, which pre-heat the target.
  • Takahiro Kozawa of Osaka University gave an overview of EUV resist. He noted that the advantage of high NA in EUV tools is lost due to increased transparency in the resist. For 6.x nm lithography, he said, we need high absorption resists – an assertion supported by results from Kinoshita, who reported low absorption at 6.x nm for EUV resists).
  • Describing his work on improving EUV resists, Takeo Watanabe of Hyogo University pointed that the ionization reaction and photo acid generator (PAG) excitation must be taken into account to understand and potentially increase EUV resist sensitivity.
  • Tooru Kimura reported on EUV resist development at JSR Corporation. He was able to reduce the acid diffusion length of EUV resist by 86% by changing the PAG backbone to a rigid structure, resulting in higher resolution. He showed that the development of underlayer for silicon hard mask helps with pattern collapse issues. He also noted that for CAR, short development time helps with the process window. He provided results for 16 nm HP with 3.3 nm line edge roughness (LER) for 16 mJ resists.
  • Padraig Dunne, NewLambda technologies, described his EUV source for metrology. This source has an etendue of 10-4 mm2sr, and brightness of 80 W/mm2sr. During 24-hour continuous operation, he measured 34 x 55 µm source size with CE of > 1%.
  • Debbie Gustafson demonstrated the performance of Energetiq’s 6.7 nm DPP source, which is being used for BEUV resist development. It is based on transitions of Ne VII at 70 mW of power.

Additional analysis and discussions on the topic of of EUVL Insertion Timing and EUV sources will be presented in this blog in the coming weeks. Proceedings of 2012 EUVL Workshop are available for download at www.euvlitho.com.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.