SEMICON West preview: OLED displays and lighting adopt printing processes, flexible substrates

July 3, 2012 — Technology for making electronic circuits with organic materials, non-vacuum processes, and flexible substrates has made striking progress, but it’s been a challenge to find applications where the new technologies — and the whole new ecosystems needed to integrate them into useful products — offer must-have advantages.  Flexible and large-area organic light-emitting diode (OLED) displays and lighting offer perhaps the largest tangible opportunity, and hybrid products using solution-processed layers and flexible substrates are starting to come to market.

Flexible active matrix OLED (AMOLED) displays will enter mobile phone applications by the end of 2012, and may show up in tablet applications in 2013, reports Jennifer Colegrove, NPD DisplaySearch VP of Emerging Display Technologies. OLED technology advanced rapidly in 2011, a trend that NPD DisplaySearch forecasts will continue through this decade in its recent OLED Technology Report. Progress has been made in organic materials, color patterning, electronic driving methods, and encapsulation. Enthusiasm has increased recently as Samsung Mobile Displays has started manufacturing AMOLED displays in a Gen 5.5 fab, and both Samsung and LG Display have announced plans to build Gen 8 (2200 x 2500mm) fabs, while several other suppliers entered or re-entered OLED display manufacturing, including AUO, CMI, IRICO, Tianma, and BOE. Also read: Samsung Mobile Display sources OLED materials from Novaled

These technology improvements and investments indicate that AMOLED will compete in larger-size applications, such as in TV and mobile PCs, within 2 years. Samsung released a 7.7” AMOLED tablet PC in December 2011, more tablet and other mobile PCs are expected in 2012. Both Samsung and LG are expected to bring 55” AMOLED TV to market in 2012. Also read: Sony, Panasonic combine OLED manufacturing expertise

However, the ability to scale OLED display manufacturing to fabs larger than the current Gen 5.5 has yet to be demonstrated, and the cost of larger panels remains in question. It is not clear if vacuum deposition of the organics at the larger size will be economical, or if printed layers will be practical to reduce costs. Challenges remain for printed and flexible processes, for example, organic material life time is still shorter with solution processes than with chemical vapor deposition.

“I think OLED technology has made good progress and is ready to enter large-size applications, but low-cost manufacturing for large sizes is still a challenge,” says Colegrove. She’ll discuss OLED technology trends, include printed and flexible OLEDs, as well as provide the most recent market forecast in her presentation at SEMICON West.

Panasonic uses printed hole injection layer, continuous evaporation process

On the OLED lighting side, more efficient mass production process technologies developed in part in the Japan’s NEDO research project are enabling production of OLED lighting with brightness of up to 1000 cd/m2 with efficiency of some 130 lm/W in the lab, reports Takuya Komoda, Research Director at Panasonic Corp’s Core Technologies Development Center. He will discuss this technology enabling the Panasonic-Idemitsu OLED Lighting joint venture to produce commercial 2mm thin, ~8cm2 OLED panels with integrated electronics for easy integration by lighting designers, with warm 3000K light and good color rendering (CRI>90), with 10,000 hour life (70% lumen maintenance.)

The manufacturing process is made economical by coating the initial hole injection layer with a slot die printer, and depositing the emitters with a new hot-wall continuous evaporation tool developed with Choshu Industries that increases the deposition rate to 10nm/second and significantly cuts down waste of the expensive emitter materials.

The company got best lifetimes of 150,000 hours to half decay at 1000cd/m2 and 55lm/W efficacy with a fluorescent/phosphorescent OLED system on a light outcoupling substrate.  Using all phosphorescent emitters improved efficacy to over 80 lm/W, while maintaining half decay lifetime at a still respectable 30,000 hours.  The 130 lm/W efficacy was achieved with a 1cm2 OLED fabricated on a hemispherical high-refractive lens.

IMEC uses low-temp metal-oxide TFTs for flexible OLED displays, RFID tags

Imec’s approach to making flexible AMOLED displays laminates a flexible PEN substrate to a temporary carrier, then builds a stack that includes a moisture barrier, backplane with metal-oxide TFTs fabricated at 150°C, an interlayer dielectric, a top-emitting OLED, and a thin-film top encapsulation, reports Serge Biesemans, imec VP of wafer technologies and smart systems, who plans to talk about the new materials and process technologies developed for this stack. Imec’s research program with partner TNO in the Holst centre aims at overcoming the challenges towards high-volume manufacturing of flexible active-matrix OLED displays on flexible plastic foils: high resolution, low power consumption, large area, outdoor readability, flexibility and light weight.

Imec is also making thin film transistors on flexible plastic, combining the n-type transistors of the metal oxide AM backplane with organic p-type semiconductors to make RFID circuits and display line drivers. For the RFID tag, a complementary hybrid organic-oxide technology was used, combining a 250°C solution-processed n-type metal-oxide TFT with typical charge carrier mobility of 2cm2/Vs with a pentacene p-type TFT with mobility of up to 1cm2/Vs. A high-k Al2O3 dielectric was used, which increases the transistors’ current drive.

Imec, Holst Centre and their partners in the EU FP7 project ORICLA have fabricated an RFID circuit in this low-temperature thin-film technology that allows reader-talks-first communication, by transmitting identification data when the reader transmits power to the tag. In retail applications, many tags will usually try to contact the reader at the same time when powered by the RFID reader, requiring an effective anti-collision mechanism, which is complicated and slows reading time. Reader-talks first tags could more simply be used to provide buyers with information on price, characteristics, or freshness, or to allow vendors to implement automated billing and inventory management.

Learn more about the progress of these technologies in markets that matter at the SEMICON West program on printed/flexible electronics, July 12, in San Francisco, Practical Plastic Electronics: Bringing Disruptive Flexible and Organic Materials into Volume Electronics Manufacturing.

Read Paula Doe’s other SEMICON West previews:

Guide to LED and OLED programs at SEMICON West

Guide to MEMS at SEMICON West 2012

MEMS manufacturing changes with HV consumer apps

Maturing MEMS sector looks at ways to work together

For more information on attending or exhibiting at SEMICON West 2012, please visit www.semiconwest.org.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.