SEMATECH reports EUV lithography mask defect and cleaning breakthroughs

August 14, 2012 — SEMATECH researchers have deposited extreme ultraviolet (EUV) multi-layers with as few as 8 defects per lithography mask, at 50nm sensitivity (SiO2 equivalent). The milestone shows that tool-generated defects during multi-layer deposition of mask blanks used for EUV lithography can be reduced enough to enable high-volume manufacturing.

Also read: EUV lithography infrastructure update from SEMATECH and EUV Symposium: Updates on defects, resists, AIMS, and non-EUV NGL

The team has worked for 2 years to improve deposition tool hardware, process parameters and substrate cleaning techniques. The 8 defects included 6 substrate defects, 1 handling defect, and 1 defect from the multi-layer deposition process. This result was achieved on a 40 bi-layer film stack with an Ru cap and measured over the mask blank quality area of 132 x 132mm2.

SEMATECH also developed novel cleaning processes, which improve substrate cleaning yield on quality substrates. The result is an integrated process capable of manufacturing EUV mask blanks with less than 20 total defects at 45nm sensitivity. The achievements in mask defect reduction and increase in yield for high-quality blanks are attributed to a significant improvement in substrate cleaning, handling, and deposition.

Defects are generally formed by decoration of substrate defects by the multilayer deposition process and, to a lesser extent, by the deposition process itself. Defects prevent mask blanks from keeping pace with roadmap requirements for the production of pilot line and high-volume manufacturing EUV reticles. Substrate and mask blank defect levels have steadily improved across the industry, but more slowly than expected. Reducing defects in EUV mask blank multilayer deposition system is one of the most critical technology gaps the industry needs to address to enable cost effective insertion of this technology at the 22 nm half-pitch. For successful introduction, integrated EUV blanks must meet a defectivity level of less than 0.003 defects/cm2 at 25nm sensitivity.

A low defect density reflective mask blank is considered to be one of the top two critical technology gaps for commercialization of EUVL technology, said Frank Goodwin, manager of SEMATECH’s Mask Blank Defect Reduction program. “The goal of our work is to enable model-based prediction and data driven analysis of defect performance for targeted process improvement and component learning to feed into the new deposition tool design.”

SEMATECH’s Mask Blank Defect Reduction program has developed world-class knowledge on the composition of very small defects, through sophisticated defect analysis capabilities and processes that include the use of leading-edge analytical tools such as the Titan TEM and an Auger tool for mask surface analysis. SEMATECH’s Mask Blank Defect Reduction program is supported by the Mask Blank Development Center, located at the College of Nanoscale Science and Engineering (CNSE), State University of New York, Albany, to develop defect-free EUV blanks. SEMATECH is an international consortium of leading semiconductor device, equipment, and materials manufacturers fostering collaboration across the nanoelectronics industry. Information about SEMATECH can be found at www.sematech.org.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.