EUV Symposium takeaways: Slow and steady progress, much improvement expected in early 2013

October 9, 2012 – Multiple reports summarizing this year’s International Symposium on Extreme Ultraviolet Lithography noted a mixed bag of results and updates: a few slippages in technology performance and roadmaps, some key improvements, and overall progress that’s still slow but in a generally forward direction.

It’s increasingly clear that EUV volume production is a matter of when and not if. "It is clear to us that the entire supply chain is beginning to support the technology," notes CJ Muse from Barclays. "EUV remains potentially the biggest product cycle in the history of semiconductor capital equipment," echoes Satya Kumar from Credit Suisse. (It’s a small supplier group poised to take advantage of that, though; ASML and Cymer, with other source firms making noise.) At the same time, for devicemakers, "EUV at high throughput is the best thing that can happen to leading-edge chip makers to counter rising capital intensity."

EUV source productivity remains the top concern, with a consensus at the symposium that EUV source productivity needs to "increase dramatically over the next 1-2 years" to push EUV lithography into high-volume manufacturing by the latest target date of 2014, reports imec which hosted this year’s EUV Symposium in Brussels in cooperation with SEMATECH and Japanese consortium EIDEC. Specifically, a reliable 200W source is needed by 2014 for initial cost-effective production — and followed by a push toward 500-1000W to keep the technology cost-effective down the long-term path of continued IC scaling. Reliability of sources has improved, though, and researchers are demonstrating ways to increase conversion efficiency to 4%-5%.

Progress is reported in another key hurdle, EUV mask handling, but imec acknowledges that availability of yielding masks to support pilot lines and later high-volume production "remains a serious concern." As a result, imec acknowledges that the EUV pellicle solutions are once again being explored to help mitigate the defect challenge.

Elsewhere, symposium presenters reported incremental improvements in resists to meet requirements of resolution, linewidth roughness, and sensitivity simultaneously. One identified issue is that best-performing resist materials sometimes show a lower photo speed that does not align with sensitivity assumed in the exposure tool suppliers’ productivity roadmap, imec notes. Another area of improvement, noted Kumar, is in line-edge roughness (LER) and line-width roughness (LWR), and how etch can play a role here. At 22nm half-pitch, <2nm LER/LWR is required; the NXE3100 shows around 4.7nm, an improvement from the alpha demo tool’s 5.9nm. Imec and Lam Research have developed a hydrogen passivation-based process to smooth and lower the LER/LWR down to 3nm, and see further room for improvement.

ASML

Source power improvements are tracking slower than expected, but more significant advancements are expected once the new ASML NXE3300B ships out in coming months, containing Cymer’s 2nd-gen HVM-II source, noted Kumar. ASML has tripled its EUV source manufacturing capacity to 23 "cabins" and is currently building seven systems of its anticipated 11 shipments in 2013. "We expect to see reports of substantial progress by SPIE in Feb. ’13" he writes. Specific anticipated improvements to the new ASML NXE3300B system include:

  • Higher transmission: The light enters the scanner at a steeper angle, resulting in lower energy loss as it reflects off the mirrors;
  • Better optics: Zeiss has "substantially improved the roughness and tolerance specs" to reduce aberration and flare (Barclays’ Muse pegs it as a 3× improvement in lens aberration). And a new mirror design allows a higher numerical aperture (NA) of 0.33, vs. 0.25 in the 3100 tool, enabling lower resolution — and Zeiss has a roadmap to get EUV NA to 0.6;
  • Better illumination: A new capability in the 3300B is "off-axis illumination" with no energy loss — yet another knob for lithographers to improve uniformity and resolution of printed features;
  • Wafer handling: Tighter overlay, faster speeds, and better matching between tools; and
  • A better source: The NXE3300B will incorporate Cymer’s new HVM-II source, which includes a pre-pulse option to improve power.

Cymer

Cymer’s source progress is "a mixed bag," with improvements on some metrics but missed targets on others, and some data not appreciably improved from SPIE in February, Kumar reports. Specifically, the throughput timeline to upgrade sources in the field has slipped by about six months from February targets (i.e. 50W sources in 3Q12), though better power performance is being seen on R&D sources. Similarly, Cymer reports improvements to the droplet generator (a new steering mechanism has improved tin droplet stability, points out Muse), but improvements to collector mirror lifetime are below targets.

Kumar cited comments from Intel’s IDF last month indicated that 50-70 wafers/hour throughput would make EUV acceptable for some applications. Samsung, meanwhile, wants to use EUV for some 20nm DRAM layers at throughputs barely half that (30 wph). Both Toshiba and Hynix said at the EUV Symposium that NAND throughputs need to be much higher (at least 125wph). Hynix added that doses have to be doubled to 22-24 mJ/cm2 to achieve necessary CD uniformity.

General consensus is that an ASML EUV litho system shipping in 2013 with Cymer’s HVM-II source at 80W power, 100% duty cycle, and a new four-amplifier configuration would support 40 wph throughput if it works as planned. 250W of power will be needed to reach 125 wph.

Cymer currently has 10 HVM-I sources, five of which are at customer sites, running 9-13W at 60% duty cycle and 0.5% dose stability — that’s behind Cymer’s progress expected at SPIE in Feb., which is now shifted to a target date of 4Q12. The previous 4Q plans were 100W operating power in continuous mode (with prepulse) at 0.2% dose stability; now the company plans 40-60W in continuous mode with prepulse, and unchanged 0.5% dose stability, by 2Q13.

Three HVM-I sources are kept in-house in Cymer’s San Diego facilities, with one being upgraded to 50W using the prepulse technology by 4Q12. (Two other HVM-I sources are at ASML.) Six of the new HVM-II sources are being built, four at Cymer and two at ASML, which will ship with the 11 3300B systems in 2013, Kumar noted.

Another mixed improvement is in the optics. Carl Zeiss and Fraunhofer Institute have developed a new collector mirror coating that enables an in-situ hydrogen cleaning process, improving collector mirror lifetime at least 45bb pulses on a customer-site HVM-I source — a 4× improvement from previous levels but equally lagging the 120-260bb pulse targets issued in February. (Apparently the mirror was removed at 45bb after a chamber leak, not a problem with the sputtering process, so that 45bb might not be the actual limit.) Cymer claims that 45bb pulses translates to four months of performance on ~100 wafers/day; Kumar extrapolates that volume production at 70wph would mean just 2-3 weeks of collector lifetime which would be "unacceptable for chip companies."

One key update to the EUV source is an improvement in Cymer’s "prepulse" technology. At SPIE in February the company said prepulse on its in-house HVM-I sources had shown 50W average power with high duty cycle but only for a short duration, and 90W in burst power at 20% duty cycle. That’s now been improved to 50W at 40% duty cycle but in a continuous automated mode for five hours (and 160W peak raw power on a development tool, notes Barclays’ Muse). Kumar translates this to 10wph throughput for five hours at 15mJ dose, which would double the output (to 200 wafers/day) with much better imaging results and 90% die yield. If Cymer can indeed deliver 90W power at 100% duty cycle with a 4-amplifier configuration by this time next year (2H13), chipmakers might have 40wph throughput in production — and we’ll start hearing more and louder rumbles of EUV production possibly in 2015.

Other sources

Cymer isn’t the only EUV source developer, though. Ushio (in what Kumar called "an enthusiastic presentation") reported 74W of power in burst mode at 12% duty cycle for a short 1hr run, better than the 30W/100% duty cycle and 37W/50% duty cycle it reported a year ago. The company’s source at imec (using 2 lasers to tailor the pulses) is exhibiting 10-12+J of energy, well improved from the previous version’s ~3J, notes Muse; the company cited specs of ~70%-80% average uptime, and hit ~96% uptime just days ago. A test source, Obelix II, supports very high (>250W) power at low repetition rates. imec, currently the only customer with an ASML 3300 and Ushio source, noted significantly improved uptime after a few Ushio hardware changes.

Still, Ushio "still has not demonstrated high power at high duty cycle, continuous mode operation for extended periods of time," Kumar notes. Its main problem is how to handle molten tin (don’t let it solidify and stay in the system), managing the extremely high electric field in between the drums as power is scaled up, and managing heat extraction from the drums. "Perhaps ASML may consider diverting a portion of the monies received from chip maker customers to keep Ushio’s R&D efforts alive," as a hedge against Cymer, Kumar writes. In the long run, though, "there will likely be only one source supplier," and he’s betting on Cymer.

Gigaphoton, meanwhile, "continues to make incremental progress" but "remains far behind" both Cymer and Ushio/Xtreme, noted Muse. Its Proto 2 source released this year with improvements to the droplet generator, CO2 laser, and EUV chamber is hoped to reach 50W clean power for 1 week stable operation by 1Q13, and a pilot tool ready later in 2013.

Mask inspection

KLA-Tencor says it shipped its first Teron 630 EUV inspection system on Sept. 25. The system, which uses DUV light with flexible illumination and polarization, takes 2-4hrs scan time, and can be extended from 22nm HP to 18nm HP. An actinic tool is under development, with a go/no-go decision needed by 4Q12; the company currently sees no showstoppers, though, and still expects to record actinic tool sales in 2015. This system takes 4-6 hrs for pattern reticles, Kumar notes, and pricing could exceed $50M.

Muse also pointed to another actinic light source from Swiss firm Adlyte, which showed its own high-brightness LPP EUV light source for actinic mask inspection. At SPIE in early 2011 the company described results of low conversion efficiency (about 1%) but respectable source brightness in a compact footprint requiring comparably lower power. Now, this "upstart company" has completed characterization of the engineering tool, with a prototype now in development and bill-of-materials procurement completed. Installation and integration is planned for 4Q12 and testing/demo in 1H13, followed by volume production.

Demonstrating brightness and cleanliness with improved positional stability is important, Muse notes, because of the critical need to perform metrology and inspection on what is printed via EUV. More players in this sector, besides KLA-Tencor and Applied Materials, is "a clear positive that the entire food chain is starting to support EUV," he writes.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.