SMC 2012: Supply chain opportunities in OLEDs, energy storage, and power semiconductors

by Paula Doe, SEMI Emerging Markets

Materials experts from across the supply chain who gathered at the Strategic Materials Conference 2012 in San Jose in October discussed key materials needs for micromanufacturing outside the CMOS mainstream, as OLEDs and GaN-on-silicon power semiconductors come to market, and alternatives like graphene, CNTs, and self-assembling polymers get closer to commercial application.

Large OLED displays are coming, and counting on materials breakthroughs

OLED adoption in larger displays is surely coming, driven by business necessity, argued James Dietz of Plextronics. Most of the major display makers are seeing operating losses from their LCD business, and OLEDs look like the best option for higher-value, differentiated products to improve margins. The OLED displays look significantly better, and they may potentially open new markets for lighter or flexible or more rugged displays, or for dual-view products. OLEDs’ ultra-fast switching speeds could allow different viewers with different glasses to watch different programs at the same time on the same screen. Moreover, though OLEDs are more expensive now, the variable costs for a 55-in. OLED TV made on an 8G line will be quite comparable to those for a similar LCD. And the OLED costs have far more potential to come down further, by developments like simplifying the layer stack and introducing wet processes that use lower cost equipment with higher utilization of the expensive materials.

But the nature of the market also means new challenges for suppliers. Anxious to avoid another experience like the commoditization of the LCD sector, display makers intend to keep their processes and complex OLEDs materials stacks to themselves this time, which makes process integration of different materials and equipment difficult. The device makers are investing in developing their own materials, making exclusive contracts with equipment and materials suppliers, and doing their own process integration. Integration is also being driven by some materials suppliers like DuPont Displays. But the familiar semiconductor model of the material and tool supplier working together to deliver a process to the customer is not the rule. "We see a gradual transition from all vapor to more solution layers," says Dietz. "OLEDs will enter the TV market in the next three years, and will have solution process steps by 2015."

The 55-in. OLED TVs announced for 2012 now look more likely to come out in only very small volume — a few thousand units in 2012 — and initial prices of ~$9000 will limit sales. But OLED TVs will start to see real growth by 2014-2015, helping to push OLED displays to a $25 billion market by 2017, reports Jennifer Colegrove, VP of emerging display technology at NPD DisplaySearch. She says ten new AMOLED fabs are planned to be built or updated in the next three years. OLED materials, now about a ~$350 million market (include the OLED organic materials but not substrates), should grow at close to the same 40% CAGR of the overall market, to reach $1-2 billion in 2014. But breakthroughs are still needed in oxide and amorphous silicon backplanes, color patterning technology, lifetime of blue materials, encapsulation materials, reduction of materials usage, and of course integration, uniformity and yields of all these things.

OLED display revenues will grow to about $35B in 2019, up from $4B in 2011, with CAGR ~40%. (Source: NPD DisplaySearch, Q3’12 Quarterly OLED Shipment and Forecast Report)

Solution processing is critically important to bringing down the cost of large screen OLEDs, argued John Richard, president, DuPont Displays, as the current production methods which rely on thermal evaporation with fine metal masks are proving costly to scale to 8G substrates. "We developed an alternative process using soluable materials to bring down cost," he notes. Wet processes reduce capital needs and cut material waste to reduce costs significantly, but still need ever better lifetimes and efficiencies of the OLED materials, particularly for blue. A major Asian display maker has licensed the DuPont technology, and plans to scale it up to 8G. The process uses largely pre-existing tools to slot coat the hole injection and transport layers, and pattern the surface with wetting and non-wetting lanes, before nozzle printing stripes of red, green and blue emitters using custom tool developed with Dai Nippon Screen.

The rest of the stack — the electron transfer layer, the electron injection layer, and the metal cathode — is then deposited by thermal evaporation. Richard says coating and printing processes can use significantly less material than vapor deposition, as it avoids losses in the chamber, on the mask, and during alignment and idling. DuPont reports printed blue emitter lifetime is up to 30,000 hours — or 8 hours a day of video for 15 years — before degrading to half brightness. Next issues include optimizing the cost of synthesis and starting materials, and reducing operating voltage for better device efficiency.

Graphene and carbon nanotubes get closer to commercial applications

Next-generation energy storage presents materials opportunities as well. One key enabler for improving both supercapacitors and batteries could be graphene, especially with better sources for consistent quality material at reasonable cost. Bor Jang, CEO of Angstron Materials, reported that his company has engaged a contract manufacturer in Asia to start volume production of as much as 30 tons of graphene next year, using Angstron’s technology that claims good control of structure and properties. "That will bring down costs by an order of magnitude," says Jang. First application will likely be performance enhancers for lithium-ion battery electrode materials, and then for improved electrodes for supercapacitors. Angstron has announced demonstration of a graphene-based supercapacitor with energy density comparable to a nickel hydride battery.

"We think supercapacitors is a market to invest in," said Chris Erickson, general partner at Pangaea Ventures, a somewhat unusual venture fund that invests particularly in materials and green technologies. "We think it will reach $1 billion in the near future." Erickson is also enthusiastic about the potential for dynamic window glazing using vapor-deposited coatings and ITO to adjust to control the shading on windows, for dramatic energy savings of up to 30% in energy consumption in a building, according to NREL — and buildings reportedly use 49% of total energy in the US.

Nantero reported major progress from its long effort in controlled processing and performance for its carbon nanotube thin film, targeting low-cost, low-power non-volatile memory. CTO and co-founder Thomas Reuckes said the company is now lithographically patterning films of its spin-coated aqueous solution of carbon nanotubes, as roughness, adhesion and defectivity are now suitable for semiconductor processing. Metal impurities are down to <1ppb in liquid form, wafer-level trace metals to <1E11 atoms/cm2 . Reuckes reported production of working and yielding 4Mbit CNT memory arrays, and showed results of reliability data. The company just announced a joint development program with imec to manufacture, test, and characterize the CNT memory arrays in imec’s facilities for applications in next generation <20nm memories.

GaN for power semiconductors needs higher purities than LED market

Power semiconductors made on GaN on silicon are being released to the market now, and, given time, could potentially address some 90% of the what IMS Research projects will be a $25 billion (silicon-based) power semiconductor market for MOSFET and IBGTs by 2016, suggested Tim McDonald, VP for emerging technologies at International Rectifier Corp. GaN theoretically offers much better specific on-resistance to breakdown voltage tradeoff than Si or SiC. The key to wide adoption is for GaN on Si based solutions to achieve 2-4× performance/cost compared to silicon.

To achieve the necessary low costs, IR uses compositionally graded layers of AlyGaxN grown on the silicon to ease the thermal and lattice mismatch of the GaN film to the silicon wafer. IR claims 80% yields, with warp and bow controlled enough to run on a standard 150mm CMOS line. GaN on silicon is moving more quickly to market for power semiconductors than for LEDs, as it brings better performance, not just potentially lower prices. It also helps that threading defects do not have the same impact on performance–plus IR has been developing the technology for six or seven years already.

The power market needs higher purity materials and cleaner tools for better yields on its larger die, compared to the LED market. It also prefers larger diameter wafers for lower costs. Demand for gas sources and MOCVD tools should scale with volume, and the tools need to be optimized for larger wafers and become more automated, with perhaps some 2,000-3,000 tools needed for the whole market over the next two decades. Packaging may move from wire bonding to soldered or sintered contacts, and will adopt other means of reducing stray packaging-related inductance and resistance.

The LED market will see only a few more years of significant growth, argued Jamie Fox, lighting and LEDs manager for IMS Research-IHS. Revenues from displays including TVs are leveling off from their fast ramp, as the markets mature, and as LEDs get both brighter and cheaper, driving down both units needed and cost per unit. The LED lighting market will continue its fast climb to near ~$6 billion over the next several years, but then as more lamp sockets are replaced by the longer lasting LEDs (and CFLs), there will be less need for replacements, and the market will slow. Slower adoption near term, however, would mean less saturation later.

Cree’s Mike Watson, senior director of marketing and product applications, countered by pointing out the potential for innovation that solid state technology brings to lighting, noting how digital technology has transformed markets like telephones and cameras into new industries for digital communications and digital imaging. "Semiconductor technology keeps changing industries by innovation," he noted. "Why do we keep thinking of it as just replacement?

Directed self-assembly for higher resolution lines and holes

Another of the more innovative materials alternatives on the CMOS side is directed self-assembly for next-generation patterning, which seems to be making rapid progress. AZ Electronic Materials CTO Ralph Dammel reported that block copolymers, with similar molecules together in blocks instead of randomly dispersed, tended to arrange themselves with the similar chain sections together, conveniently lining up into cylinders that look similar to lithographic contact holes, or into lines similar to lithographic lines and spaces. Wafer surface patterning with topography or chemicals can control the placement of these self-assembled patterns, on top of standard 193nm immersion lithography. Work with IBM Almaden suggests the process can provide better CD uniformity for quadruple patterning at lower cost than the spacer pitch division process. Other work shrinks contact holes, while improving the CD variation compared to the resist prepatterns. The company is now providing large-scale samples for in-fab process learning, with implementation perhaps as early as 2014, though design for self-assembly needs further development work.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.