Leti discusses different lithography options for advanced technology nodes at SEMICON West 2013

At Leti Day during SEMICON West, Leti Lithography Program Manager Serge Tedesco highlighted different lithography options for advanced technology nodes. Dr. Tedesco suggested that an “optical forever” solution using 193nm immersion lithography in combination with a pitch-multiplication strategy could well provide lithography solutions to very advanced nodes on the industry’s technology roadmap.

Nevertheless, this option will face cost issues, and maskless lithography (ML2) and directed self assembly (DSA) could be very effective as complementary techniques that provide significant cost reductions on some critical levels.

To support their development, Leti created two industrial programs, IMAGINE for ML2, and IDeAL for DSA. Tedesco presented the roadmaps and technical status for both programs, which include large consortiums of industrial partners: IMAGINE around MAPPER Lithography tools, and IDeAL around Arkema’s block copolymer materials for DSA.

Tedesco also noted that Leti’s goal with both programs is to set up the necessary infrastructure to support the industry’s transition toward these complementary technologies.

Biography Dr Serge Tedesco:

Serge Tedesco joined CEA-Leti in Grenoble to take charge of e-Beam lithography, and consequently all advanced lithography activities. Since 2003 he has managed CEA-Leti’s lithography strategy and programs as lithography program manager. Dr. Tedesco has authored or co-authored more than 110 papers in the field of lithography and is a program committee member of the major International lithography conferences. He has been involved in numerous European projects, both as project leader and expert.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.