Reducing mask write-time—which strategy is best?

By Steffen Schulze and Tim Lin, Mentor Graphics

An upcoming challenge of advanced-node design is the expected mask write time increase associated with the continued use of 193nm wavelength lithography. If nothing is done, then shot count, the major predictor of mask write time, will increase more than 10x. A number of techniques have been developed by electronic design automation (EDA) software suppliers to control mask write time by reducing shot count— from simple techniques to align fragments in the OPC step, to more complex techniques of simplifying the data for individual writing passes in multi-pass writing. These approaches promise a reduction in shot counts anywhere between 10% and 40%. This article describes and compares several techniques, and the merits versus cost of each[1].

Mask write time increase has a number of dimensions. One is the increase in shot count: the number of shots directly correlates to mask write time. The addition of more shapes from OPC contributes as well. Another dimension is the introduction of litho techniques like multi patterning, which adds more masks to the set and hence increases the overall mask writing time. The growth in mask counts can be countered with capacity and won’t be addressed here.

Increased mask write time leads to increased mask cost that detract from the benefit of moving to advanced nodes, so taking steps to mitigate or reduce this cost is attractive. However, these additional steps also impose some new cost to the overall process. We introduce a number of techniques that mitigate the impact on mask write time and offer a benefit versus effort of deployment assessment [2].

Shot count reduction approaches

We analyzed the following shot count reduction approaches:

  • Optimized fracture
  • Pre-fracture jog alignment
  • L-shot
  • Multi-resolution writing (MRW)
  • Optimization-based fracture
  • Optimized OPC output

Optimizing fracture

The baseline shot count is defined by the fracture step – a general polygon can be fractured into elementary figures in a variety of ways – hence the fracture algorithm can be tuned to achieve the minimum shot count.

The fracture step is driven by three metrics:

  • Smallest total shot count
  • Smallest number of outside small figures (shots exceeding the vendor recommended smallest shot size)
  • Smallest number of dual shot splits for critical cd features

Tuning the heuristic to the node-driven changes in design style and RET/OPC methodology can lead to a reduced shot count. In a recent test on an M1 22nm design, roughly a 2% shot count reduction was achieved.  While the reduction is not large in itself, such improvements have a large cumulative effect over time; and other algorithm improvements, such as small-outside figure reduction, also indirectly improve the shot count. This method is also easy to adopt with minimal cost to the user.

Jog Alignment

One source of small figures is misaligned vertices on opposing sides of polygons, a.k.a jogs. Misaligned jogs can occur in OPC during fragmentation, when different data levels are merged prior to fracture, or during biasing.  When jogs are misaligned even by a small amount, a small trapezoid is required between them. Jog alignment suppresses the small figures by identifying jogs on opposing sides of polygons and aligning them based on user-defined parameters[3]. The principle is shown in Figure 1.

Figure 1. Reduction of shot count by jog alignment using Calibre MASKopt.

Figure 1. Reduction of shot count by jog alignment using Calibre MASKopt.

Jog alignment is an additional processing step that is inserted into the workflow prior to the fracture. It is conducted with the same tools as in the current flow. Because the mask target is modified, a verification step to assess the mask edge placement error (EPE) is recommended. The downstream processes on the mask writer are not impacted by this method, including the onboard proximity effect correction (PEC) algorithms.

Jog alignment can yield significant shot count reduction, as illustrated Figure 2. In an experiment, we applied jog alignment, varying the jog movement distance over a range of 0nm up to 100nm at mask scale. A 34% shot count reduction was achieved without any degradation of the mask (based on the EPE range).

Figure 2.  Jog alignment results (Calibre MASKopt) showing shot count and EPE range versus max. jog alignment at mask scale.

Figure 2. Jog alignment results (Calibre MASKopt) showing shot count and EPE range versus max. jog alignment at mask scale.

L-Shot

L-shot fracture reduces shot count by expanding the range of geometries that can be written in a single shot[4].   Current e-beam mask writing tools allow triangles or rectangles.  The concept of L-shot fracture is to let the write tools make a single shot in the shape of an “L”.  Overall a shot count reduction of between 20% and 40% has been achieved.

To create an L-shaped shot, an additional aperture is required in the write tools.  Today, two rectangular apertures are used to create rectangular shots of different sizes, but a cross shaped aperture is needed for L-shot.  This requires significant development by the e-beam write tool manufacturers.  This method does not change other fundamentals of the mask writing process.

Multi-resolution writing

Photomasks are conventionally written in two or more passes in which the same data is exposed multiple times with a shifted placement. Each identical exposure integrally multiplies the mask write time.

The objective of multi-resolution writing (MRW) is to jointly customize the shot patterns in both passes.  In particular, one may decompose the exposure into one “detail” pass with about as many shots as the conventional pass and one “coarse” pass with many fewer shots such that the desired image is obtained.  The coarse pass deposits an “average” image and the detail pass “refines” it [5].

We used a prototype model-based MRW software on a 22nm active layer, and the results are shown in Figure 3. The maxDist parameter controls the aggressiveness of MRW, with maxDist = 0 meaning that no MRW is applied.  The shot count reduction was up to 33%.

Figure 3: MRW results showing shot count and EPE range versus maxDist at mask scale. Noteworthy that the EPE range is reduced over the base line owing to the application of mask process correction as part of the algorithm to secure the mask target.

Figure 3: MRW results showing shot count and EPE range versus maxDist at mask scale. Noteworthy that the EPE range is reduced over the base line owing to the application of mask process correction as part of the algorithm to secure the mask target.

The deployment of this method requires adjustments both to data preparation and to the mask writing equipment. The MRW software is used before fracture, then the two different data layers are fractured.

Optimized-based fracture

Optimization-based fracture is one method for writing curvilinear masks within a reasonable shot count [6]. In traditional fracture, trapezoids are created to exactly cover the input polygons submitted to the fracture algorithm; shots are abutting and non-overlapping. Optimization-based fracture relaxes those constraints.

Shots can be placed such that they overlap or be non-abutting so that sub-resolution gaps exist. The optimization problem is formulated to minimize the number of shots while maintaining the intended post-OPC pattern on the mask. The solution incorporates an e-beam blur (forward scattering + resist blur) model to properly simulate the overlapping and non-abutting shapes. Allowing for overlapping shots and non-abutting shots expands the solution space and provides the optimization engine more opportunity to reduce the shot count. Experiments demonstrate up to 28% reduction with a limited impact on wafer process window and max EPE. However, it does require an update to the workflow on the current mask writer equipment.

Optimized OPC output

The complexity of the OASIS layout presented to the mask manufacturing process is largely driven by the RET and OPC processes. The insertion of assist features, the decoration of layout shapes and the simplification of smooth target mask contours as obtained by inverse lithography methods with tight tolerances increase the shot count of the output. A number of techniques to reduce the complexity and hence reduce the mask writing time can be applied during the application of OPC. In this case any changes to the output layout are intrinsically verified against the tolerances required by the litho process. The OPC tools referenced in this study (Calibre OPCpro and Calibre nmOPC) offer two main user-controlled options to reduce shot count [7,8].

  • Jog-smoothing – the alignment of adjacent fragments to eliminate vertices prior to the final  iterations
  • Jog-alignment – vertex alignment across the shapes during the fragmentation step

Assessment of mask write time solutions

Deployment of mask write time reduction techniques in a running mask manufacturing line require changes that will impact the current technology, workflows, and equipment to varying degrees. We aimed for maximum write time reduction at the lowest cost and with the smallest impact to the running operation.

We associated a cost indicator as a relative rating of the effort for the implementation and execution of each technique. Benefit indicators are associated with the potential for shot count reduction. The results of the assessment are displayed in Figure 4.

Figure 4. Benefit and effort assessment for various mask write time reduction techniques.

Figure 4. Benefit and effort assessment for various mask write time reduction techniques.

 

A few observations are noteworthy. The biggest benefit is obtained from optimized OPC output, which also incurs one of the lowest costs of adoption. Optimization-based fracture with dose modulation ranks highest on the cost scale.  All methods modifying the mask shapes impose increasing effort depending on the complexity of the changes.

Optimized OPC output wins

We reviewed several mask write time reduction techniques designed to contain the increase in mask shot count while preserving the results quality. Multiple factors impact the cost associated with shot count reduction – CD control on mask and wafer, hardware and software changes, and data preparation effort. The goal is to get maximum write time reduction at the lowest cost and with smallest impact to the running operation. The technique of optimized OPC output was the clear winner. Post-OPC data simplification techniques of varying complexity follow a steep deployment cost curve and require careful consideration.

ACKNOWLEDGEMENTS

The authors would like to thank their colleagues from Mentor Graphics – A. Elayat, E. Sahouria, P. Thwaite, J. Mellmann, N. Akkiraju, Y. Granik, U. Hollerbach.

 

REFERENCES

  1. A. Elayat, T. Lin, E. Sahouria, S.F. Schulze, “Assessment and comparison of different approaches for mask write time reduction”, Proc SPIE 8166, 816634 (2011) http://go.mentor.com/23bmz
  2. James Word, Keisuke Mizuuchi, Sai Fu, William Brown, Emile Sahouria, “Mask shot count reduction strategies in the OPC flow”, Proc. SPIE 7028, 70283F (2008) http://dx.doi.org/10.1117/12.799410
    1. Steffen Schulze, Emile Sahouria, Eugene Miloslavsky, “High-performance fracturing for variable shaped beam mask writing machines”, Proc. SPIE 5130, 648 (2003) http://go.mentor.com/236y3
    2. Emile Sahouria and Amanda Bowhill, “Generalization of shot definition for variable shaped e-beam machines for write time reduction”, Proc. SPIE 7823, 78230T (2010) http://go.mentor.com/236y4
    3. Emile Sahouria, “Multiresolution mask writing”, Proc. SPIE 7985, 798503 (2011) http://dx.doi.org/10.1117/12.881929
    4. Timothy Lin, Emile Sahouria, Nataraj Akkiraju, Steffen Schulze, “Reducing shot count through optimization-based fracture”, Proc. SPIE 8166, 81660T (2011) http://dx.doi.org/10.1117/12.897779
    5. Sean Hannon, Travis Lewis, Scott Goad, Kenneth Jantzen, Jianlin Wang, Hien T. Vu, Emile Sahouria, Steffen Schulze, “Reduction of layout complexity for shorter mask write-time”, Proc. SPIE 6730, 67303K (2007)  http://go.mentor.com/236y5
    6. Ayman Yehia, “Mask-friendly OPC for a reduced mask cost and writing time”, Proc. SPIE 6520, 65203Y (2007) http://go.mentor.com/236y6

 

Steffen Schulze is product marketing director for Calibre mask data preparation products at Mentor Graphics Corp., 8005 S.W. Boeckman Rd., Wilsonville, OR 97070; ph 800/547-3000, e-mail [email protected].

Tim Lin is technical marketing engineer for Calibre mask data preparation products at Mentor Graphics Corp., 46871 Bayside Parkway, Fremont, CA 94538; ph ph 800/547-3000, e-mail [email protected].

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.