Applied Materials unveils CVD and CMP systems

On Monday, Applied Materials announced two new systems, a Reflexion LK Prime CMP system and a Producer XP Precision CVD system, both aimed at complex devices with 3D architectures. The company has introduced six new products over the last three months, with a new VIISta ion implanter introduced in June, and an Endura Ventura PVD system, Endura Volta CVD Cobalt system and Vericell solar wafer inspection system introduced in May.

The transition from planar to 3D devices, such as finFETs and 3D NAND, creates a variety of new challenges for equipment and materials. CMP, for example, now directly determines gate dimensions. “In planar, it was basically about depth of focus, basically providing ability to build metal layers one on top of another,” explained Sid Huey. “Now, with 3D, CMP is at the gate. It’s really at the heart of the transistor and this controls the device performance. What this means is that the performance required now is really an order of magnitude more stringent than what it was in the past.” Huey, director, CMP product manager, CMP Division, Silicon Systems Group, Applied Materials.

In the past, advances in CMP were largely centered around new polishing pads and slurries (which provide the “mechanical” part of chemical-mechanical polishing) and low downforce polishing heads. Today, the focus is on multiple process steps which enables better process control. New device architectures can require additional polishing steps. Logic 3D FinFETs involve up to 10 more planarization steps; 3D NAND require up to 5 more.  The latter are especially long processes, making it harder to maintain a steady removal rate and achieve an ultra-uniform surface. Dividing them into several shorter steps yields superior results. The system controls FinFET gate height with nanometer-level uniformity for every die.

The new Reflexion features 14 processing stations for polishing and cleaning: six polishing stations and eight integrated cleaning stations. Some processes are done with the wafer held vertically. The system includes a pre-cleaning module to reduce defectivity, and real-time process control designed for the influx of new on-wafer materials. The increase in processing modules doubles wafer throughput for many applications, providing up to a 100 percent boost in productivity.

The 3D NAND industry inflection also requires enabling deposition technology for vertical gate formation and complex patterning applications. The Producer XP Precision CVD system supports the 3D NAND transition by delivering essential nanometer-level layer-to-layer film thickness control for excellent CD uniformity across the wafer. Key to this performance is the system’s capability to tune crucial parameters that include temperature, plasma, and gas flow. This engineering flexibility supports the alternate deposition of different high-quality, low-defect films.

The Producer CP Precision CVD system.

The Producer CP Precision CVD system.

Reflexion LK Prime CMP system

Reflexion LK Prime CMP system

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.