SEMATECH reports significant progress in EUV resist outgas testing

​SEMATECH announced today that promising progress has been made in qualifying outgassing specifications for extreme ultraviolet (EUV) lithography. As the first to certify a resist family using witness sample-based resist outgas testing, this achievement has the potential to realize substantial cost savings by significantly improving the resist learning cycle.

​The reduction of EUV resist outgassing to minimize or prevent possible contamination of EUV exposure tools is critical to the development of EUV resists. Therefore, it is vital that resist chemistries meet stringent outgassing specifications before being used in an EUV scanner. Today, however, the resist learning cycle is excessively long and inefficient due in part to the lengthy outgas tests that resist material formulations must undergo before being subjected to exposure in a production EUV scanner.

In a joint collaboration with the JSR Corporation, SEMATECH has experimentally proven an improved evaluation method that reduces the amount of testing of commercial EUV resists from every formulation to just three samples per family. The results confirm that the concentration of the major components in a resist can be varied without the need for requalification, which can result in a potential savings of few hundred outgas tests for each resist family.

“In mutual effort to develop leading-edge resists and materials, and accelerate optimized processes for EUV high-volume manufacturing, JSR and SEMATECH have made significant progress in identifying solutions for key outgassing issues,” said Tooru Kimura, General Manager of Semiconductor Materials Laboratory at JSR. “Through sophisticated process capabilities, the goal of our work is to discover new materials for the next generation EUV exposure tools that further stimulates resist development by enabling a more efficient way for outgas testing.”

“In order to ensure the affordable evolution of state-of-the-art lithography technologies, it is critical to predict a material’s outgassing level and understand the link between performance and resist outgassing,” said Kevin Cummings, SEMATECH’s Director of Lithography. “SEMATECH has been working with JSR over the last several months to make sure all test procedures meet industry guidelines for outgas testing. Now we are able to reduce the sample tests per each resist family for all resist suppliers, further enabling the infrastructure that will afford cost-effective EUV manufacturing.”

Over the past decade, SEMATECH has reduced resist and materials development cycle time by providing the industry access to successive generations of small field exposure tools. SEMATECH’s projects have succeeded in measuring the outgassing characteristics in hundreds of EUV resists and materials formulations, and delivering thousands of EUV exposure shifts to member companies to evaluate tens of thousands of materials formulations.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.