Viewpoints: 2016 outlook

Solving inflection challenges through materials engineering

Prabu Raja-20151207-AppliedMaterials-0046 (color)By Prabu Raja, Vice President, General Manager, Patterning and Packaging Group, Applied Materials

This year, the industry will see significant progress on several crucial technology inflections. Memory manufacturers are transitioning to 3D NAND to build higher performance, higher density memories at a lower cost per bit. We expect all major memory suppliers to ramp their 3D NAND devices into high-volume production in 2016.

The transition from planar to 3D NAND devices creates a new set of manufacturing requirements, prompting the shift to materials-enabled scaling and the need for new materials and process technologies. New deposition and etch products capable of precise, atomic-level layer-to-layer thickness and uniformity control are crucial to fabricating the multi-layer stacks of memory cells. And with numerous enabling patterning and conformal materials being used to build complex structures, materials selectivity is becoming an essential capability.

In the second half of 2016, we expect to see the ramp of 10nm 3D FinFET process technology in logic and foundry. The 10nm platform is necessary to sustain historic transistor performance gains, increase transistor packing density per Moore’s Law and bring next-generation chip designs to market. However, this inflection is heavily dependent on multi-patterning techniques to overcome the current optical lithography resolution barrier given the delays in EUV litho tool readiness. Multi-patterning is equally critical in the DRAM transition from 20nm to 1Xnm to achieve continued growth in memory bit density. While multi-patterning supports continued scaling, it raises process complexity, driving rigorous new requirements for precision in deposition and etch processes.

As chipmakers race to deliver on the industry’s inflections, they will drive investment in a variety of innovative products. Looking ahead, we expect 2016 to represent an important turning point where entirely new capabilities will move into 10nm/1Xnm node production environments. In particular, with more materials used to fabricate advanced device designs, we expect new technologies such as selective material deposition and removal, which can selectively remove or deposit material in a target area without touching or damaging other materials, to play a prominent role in creating the chips that make our connected world possible.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

One thought on “Viewpoints: 2016 outlook

  1. Matsumura

    Selective etch without damage is fine, but how control the etch profile with less energy is also important, I think.
    If you allows , I would like to discuss with you.

Comments are closed.