Yearly Archives: 2016

Analogix Semiconductor, Inc. and Beijing Shanhai Capital Management Co, Ltd. (Shanhai Capital), today jointly announced that they have entered into a definitive merger agreement under which a consortium led by Shanhai Capital will acquire all of the outstanding shares of Analogix for over $500 million. China Integrated Circuit Industry Investment Fund Co., Ltd. (China IC Fund) also joined Shanhai Capital’s fund as one of the limited partners. The transaction is subject to regulatory approvals and is expected to close in late 2016.

Analogix’s high-speed, mixed-signal semiconductor integrated circuits (ICs) for high-performance display applications are used in mobile devices, virtual/augmented reality (VR/AR), and other high-performance electronic products from leading electronics brands including Apple, Samsung, LG, Microsoft, Google, Lenovo, Dell, HP, Asus, and HTC. The company is headquartered in Santa Clara, California, and the majority of its engineering operations are located in Beijing, China. Current investors include leading venture capital firms: DCM Ventures, Globespan Capital Partners, Keytone Ventures, and the Woodside Fund.

“We are very happy to have reached this agreement, which provides significant value to our shareholders,” said Dr. Kewei Yang, Analogix Semiconductor’s chairman and CEO. “The financial support of Shanhai Capital propels our growth while maintaining the direction, organization, and determination to serve our customers. I am especially excited that we all share the same vision of building Analogix into a much broader and more capable global semiconductor leader.”

“We are pleased to establish our relationship with Analogix, a company whose technology leadership is recognized by the world’s leading OEMs, and we look forward to facilitating Analogix’s continued growth,” said Mr. Xianfeng Zhao, Chairman of Beijing Shanhai Capital Management Co, Ltd. “With the added investment, we can leverage the strength of the company’s core technology and business expertise, extend our business into adjacent high-growth markets, and build a world-leading semiconductor company. We expect an IPO in China in the near future.”

Synopsys, Inc. (Nasdaq:  SNPS) today announced a collaboration with TSMC to complete the certification for its 16-nanometer (nm) FinFET Compact (16FFC) process for a suite of Synopsys’ digital, custom and signoff tools from the Galaxy Design Platform. A key result of the certification is that Synopsys’ Custom Compiler solution is supported with TSMC’s 16FFC Process Design Kits (PDKs) through the iPDK standard. With multiple production designs for TSMC’s 16FFC process already underway, the tool certifications enable mutual customers to lower costs and increase reliability with TSMC’s FinFET technology.

The rapid adoption of FinFET technology and increasing functionality for automotive design applications is resulting in higher current densities and, therefore, more wires susceptible to electromigration (EM) effects, such as voids and short circuits. Additionally, the thermal profile of FinFET technology affects the temperature of surrounding metal interconnects, known as self-heating effect (SHE), which affects the possibility of EM failures over time. To address these challenges, TSMC enhances circuit simulation models that assess the impact of SHE on device reliability mechanisms, such as hot-carrier injection (HCI) and bias-temperature instability (BTI). Synopsys supports the new models with the latest versions of its popular HSPICE®, CustomSim™ and FineSim® circuit simulators. The enhanced reliability simulation solution enables designers to model circuit performance degradation over time – a key step toward improving long-term automotive design reliability.

To support TSMC’s 16FFC process, a suite of Synopsys’ digital, custom and signoff tools from the Galaxy platform are validated to handle enhanced design rules and reliability requirements for targeted applications, such as mobile, Internet of Things (IoT) and automotive. The certified tools deliver routing rules, physical verification runsets, signoff-accurate extraction technology files, statistical timing analysis that correlates with SPICE and interoperable process design kits (iPDKs) for the 16FFC process.

“The jointly developed enhancements for automotive design reliability and tool certification for TSMC’s 16FFC process are another significant milestone of the long-term collaboration between Synopsys and TSMC,” said Bijan Kiani, vice president of product marketing of the Design Group at Synopsys. “The latest enhancements and certification for custom, digital and signoff flows are enabling our mutual customers to deliver lower cost and higher reliability for their innovative designs in many application areas such as automotive, IoT and mobile.”

“Through our multi-year collaboration with Synopsys, we are now jointly delivering significant enhancements to improve design reliability for key applications including automotive ADAS and infotainment,” said Suk Lee, senior director of TSMC’s Design Infrastructure Marketing Division. “In addition, tool certification for TSMC’s 16FFC process signals to our mutual designer community that the Galaxy Design Platform tools are ready to be used with our 16FFC process for the development of their next-generation projects.”

Key Synopsys tools certified by TSMC for their 16FFC process include:

  • IC Compiler IITM place and route solution
  • IC Validator signoff physical verification
  • StarRC™ extraction tool
  • PrimeTime® timing signoff solution
  • Custom Compiler custom design solution
  • PrimeRail and CustomSim reliability analysis
  • NanoTime custom timing analysis
  • HSPICE, CustomSim and FineSim simulation

The Semiconductor Industry Association (SIA), in consultation with Semiconductor Research Corporation (SRC), today presented its University Research Award to professors from the University of Chicago and the University of Michigan in recognition of their outstanding contributions to semiconductor research.

Dr. Paul Nealey, professor of molecular engineering at the University of Chicago, received the honor for excellence in technology research, while Dr. David T. Blaauw, professor of electrical engineering and computer science at the University of Michigan, was recognized for excellence in design research.

“Research brings to life the tremendous innovations that underpin the U.S. semiconductor industry, the broader tech sector, and our economy,” said John Neuffer, president and CEO of the Semiconductor Industry Association, which represents U.S. leadership in semiconductor manufacturing, design, and research.

“Professors Nealey and Blaauw have led research efforts that have advanced semiconductor technology and strengthened America’s global technology leadership. It is an honor to recognize Dr. Nealey and Dr. Blaauw for their landmark accomplishments.”

“SRC’s mission is to drive focused industry research to both advance state-of-the-art technology and continue to create a pipeline of qualified professionals who will serve as next-generation leaders for the industry,” said Ken Hansen, SRC President and CEO. “Dr. Nealey and Dr. Blaauw exemplify that spirit of innovation, and we’re pleased to honor them for their achievements.”

Dr. Nealey is a pioneer of directed self-assembly, which is becoming very important in microelectronics processing to create patterns for integrated circuits. He is one of the world’s leading experts on patterning organic materials. This entails creating physical patterns of structure and composition in organic materials at the nanometer length scale, where the patterns affect the function of the materials. Dr. Nealey holds 14 patents and is the author of more than 180 publications.

Dr. Blaauw worked for Motorola, Inc. from 1993-2001, where he was the manager of the High Performance Design Technology group. Since August 2001, he has been on the faculty at the University of Michigan where he is currently a full professor. His work has focused on VLSI design with particular emphasis on adaptive and low-power design. Dr. Blaauw received his B.S. from Duke University in 1986 and his Ph.D. from the University of Illinois, Urbana, in 1991.

The University Research Award was established in 1995 to recognize lifetime research contributions to the U.S. semiconductor industry by university faculty.

The bill of materials (BOM) for an iPhone 7 equipped with 32 gigabytes (GB) of NAND flash memory carries $219.80 in bill of materials costs, according to a preliminary estimate from IHS Markit (Nasdaq: INFO), a source in critical information, analytics and solutions.

After $5 in basic manufacturing costs are added, Apple’s total cost to manufacture the iPhone 7 rises to $224.80. The unsubsidized price for a 32GB iPhone 7 is $649. IHS Markit has not yet performed a teardown analysis on the larger iPhone 7 Plus. This preliminary estimated total is $36.89 higher than the final analysis of the iPhone 6S published by IHS in December 2015.

“Total BOM costs for the iPhone 7 are more in line with what we have seen in teardowns of recent flagship phones from Apple’s main competitor, Samsung, in that the costs are higher than in previous iPhone teardown analyses,” said Andrew Rassweiler, senior director of cost benchmarking services for IHS Markit. “All other things being equal, Apple still makes more margin from hardware than Samsung, but materials costs are higher than in the past.”

Same shape. No jack.

While the overall shape and physical design of the iPhone 7 is similar to the iPhone 6S that preceded it, the new display has wider color gamut, including DCI-P3 as well as traditional sRGB, which improves the rendering of photos and videos. The device’s haptic engine, which provides the “click” feel for users, has also been improved for longer-duty cycles and better dynamic response. The home button is now static and mimics the MacBook in terms of a solid-state button design.

Apple has also eliminated the 3.5 millimeter headphone jack, allowing a larger battery and haptic motor. “Where there was an audio jack in the previous design, Apple replaced it with a symmetrical grill — not for speakers, but for the waterproof microphone, leaving more room for the larger battery and Taptic Engine,” Rassweiler said.

Increased base-model storage

Apple has increased the iPhone 7’s storage density. For the first time, the base model starts at 32 gigabytes (GB) – which is only the second time Apple has upgraded the base storage in the iPhone. From a cost perspective, the shift from 16GB/64GB/128GB iPhones to 32GB/128GB/256GB is a big jump. “Despite significant cost erosion in NAND flash over the last year, this increase in the overall memory cost definitely puts pressure on the bill of materials costs — and therefore margins — from Apple’s perspective,” Rassweiler said.

Intel returns

The Intel design win, and six years of absence that Intel had from the iPhone, is important to note. Even so, Intel still shares the processor business with Qualcomm. “Whereas Apple strives to have ‘one iPhone model for all carriers and markets,’ there are a number of different hardware permutations supporting various countries and carriers,” Rassweiler said. “Apple will likely look for ways to simplify the design moving forward, which means one supplier – whether Intel or Qualcomm – will likely dominate, as part of supplier and SKU streamlining.”

According to Wayne Lam, principal analyst of smartphone electronics, IHS Markit, “Largely left behind in the 4G LTE market, Intel has finally worked itself back into the iPhone, which is a huge win, but not one that is going to be financially significant in the near term for Intel.”

RF paths

Apple has also eliminated segmented antenna bands, which means the company is pushing all radio-frequency (RF) paths to the very ends of the phone – both on the top and bottom. The aluminum uni-body construction and design forces all RF paths into those two locations. Whereas other smartphones use a glass back and RF components with antennas mounted on the ample back spaces, Apple is restricted to just two physical antennas.  “This design limitation may force Apple to go back to an all-glass design again so that they can fit in 4x4MIMO LTE antennas and more features like wireless charging in the next iPhone iteration,” Lam said.

Modem moved

The baseband thin modem has been moved next to the A10 processor. Prior to the iPhone 7, the thin modem was always on the other side of the SIM card receptacle. “This is a subtle change but likely shows us where Apple wants to take this,” Lam said, “eventually putting the thin modem right on the apps processor package or even integrating it into the A-series processor.”

Officially water resistant

iPhone 7 is now officially rated as water resistant. “We also saw evidence of this water proofing design evolution in the earlier iPhone 6S, which included additional gasketing around critical connectors, as well as the use of WiFi antenna at the end of the primary speaker box,”Lam said. “Doing so pushes the antennas near the only other opening, for better reception and transmission.”

Jet-black polished case

Jet black polish is a new option on 128GB and 256GB models. “This is a new feature that produces a whole new look for the iPhone,” Lam said. “It is a lower yielding, time-intensive manufacturing step that adds cost, as well as considerable value, pushing the retail price higher for those requesting this option.”

Antenna speaker design

The antenna speaker design on the iPhone 7 came from the WiFi antenna packed into the speakers of Apple’s MacBook.  “Apple likes to reuse these unique designs throughout their product lines,” Lam said. In a first for the iPhone series, the headset speaker now doubles as a stereo speaker.

Upgraded camera

While not as groundbreaking as the two optical paths in the iPhone 7 Plus, the iPhone 7 camera has now been upgraded to optical image stabilization (OIS), for better low light performance.

Improved battery life

The battery has been increased to 1960mAhr capacity from 1715mAh in the previous iPhone 6s.  This change is consistent with Apple’s claims of improved battery life.

Today, at the OLEDs World Summit in San Diego, Kateeva, a OLED production equipment developer, reported that its YIELDjet FLEX system has earned a commanding lead in the key organic layer deposition step in the OLED Thin Film Encapsulation (TFE) market. Since the novel inkjet printing solution debuted in manufacturing in 2014, the company has secured the vast majority of available TFE orders. Customers include the world’s largest flat panel display manufacturers located in three key Asia regions.

TFE is a critical step in the flexible OLED manufacturing process. It gives thinness and flexibility to the OLED device, and helps reduce overall manufacturing costs. OLEDs utilizing TFE are revolutionizing the consumer electronics industry by enabling exciting new mobile products that are bendable, foldable and even roll-able. Kateeva’s YIELDjet FLEX system helped catalyze the transition to the new display technology by solving key technical challenges that previously made mass-producing OLEDs with TFE, including flexible OLEDs, economically unviable.

Kateeva CEO Alain Harrus attributed the company’s market momentum to the swift migration to flexible OLED mass production by display leaders. “That fast manufacturing transition speaks to the spirited innovation within the display industry, where leaders are testing the limits of physics, chemistry and engineering ingenuity, and making substantial R&D investments to commercialize revolutionary displays. We’re privileged to partner with these trail-blazing companies, and pleased that our YIELDjet technology is enabling their processes.”

The YIELDjet FLEX tool is the first system to emerge from Kateeva’s YIELDjet platform. The YIELDjet platform is Kateeva’s foundational technology. Introduced in late 2013, it was the first inkjet printing manufacturing equipment platform engineered specifically for OLED mass-production. OLED technology was already transforming rigid smart phone displays with vibrant color and extraordinary image quality. With new high-yield mass-production equipment, OLED technology would enable the next leap—freedom from glass substrates—a breakthrough that would unleash tantalizing new flexible products.

Kateeva’s YIELDjet FLEX system has enabled a rapid transition from glass encapsulation to TFE in new OLED production lines. The company’s precision deposition solution for the TFE organic layer deposition process is fast, offers good planarization, few particle defects, high material utilization, good scalability, and easy maintenance. These advantages deliver dramatically higher TFE yields and lower mass-production costs, making the system a powerful alternative to vacuum evaporation technologies which had reached their technical limits.

Today, barely two years after its debut, Kateeva’s YIELDjet FLEX tool is the undisputed leader in the industry.

At the OLEDs World Summit, Kateeva technologist, Neetu Chopra, Ph.D. will reveal how YIELDjet technology will soon be applied to mass-produce the RGB OLED layer to enable affordable OLED TVs. Dr. Chopra will present her talk today at 4:35pm.

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, and Leti, an institute of CEA Tech, announced today that Leti has ordered a HERCULES NIL track system from EV Group. The HERCULES NIL system will be installed in Leti’s cleanroom facility in Grenoble, where it will augment the process-development and demonstration capabilities available to participants in the collaborative EVG-Leti INSPIRE program.

hercules nil

More than an industrial partnership to develop NIL process solutions, the INSPIRE program was launched by Leti and EVG in June 2015 to demonstrate the cost-of-ownership benefits of NIL for a wide range of application areas, such as photonics, plasmonics, lighting, photovoltaics, wafer-level optics and bio technology. Through INSPIRE, Leti and EVG are supporting the development of new applications from the feasibility-study stage to the first manufacturing steps on EVG platforms, as well as transferring integrated process solutions to their industrial partners. The result of this effort is to significantly lower the barriers for adopting NIL technology for use in manufacturing novel products.

“Nanoimprint lithography has shown significant potential as a low-cost, high-resolution patterning solution for emerging and growing applications outside the semiconductor industry,” said Laurent Pain, patterning program manager, Leti. “The INSPIRE program launched by Leti and EVG is designed to accelerate the adoption of this promising technology in high-volume manufacturing. Installing this tool supports our goal of expanding and accelerating the scope of INSPIRE and demonstrating the benefits of this versatile, powerful nano-patterning technology.”

“We are extremely pleased with the success of the INSPIRE program since Leti and EVG launched it one year ago,” stated Markus Wimplinger, corporate technology development and IP director, EV Group. “To date, this program is supporting the development of NIL solutions for several customers thanks to the combined expertise and capabilities provided by both organizations. With the addition of EVG’s HERCULES NIL track system–which has already been installed in multiple high-volume manufacturing sites–we expect INSPIRE’s success to continue to grow.”

HERCULES NIL is a fully integrated track system that combines cleaning, resist coating and baking pre-processing steps with EVG’s proprietary SmartNIL large-area NIL process in a single platform. It can imprint structures in sizes ranging from tens of nanometers up to several micrometers while offering unmatched throughput (40 wph for 200-mm wafers). The system is built on a highly configurable and modular platform that accommodates a variety of imprint materials and structure sizes–providing a high degree of flexibility in addressing customers’ manufacturing needs. The fully integrated approach also minimizes the risk of particle contamination.

BY MIKE CZERNIAK, Environmental Solutions Business Development Manager, Edwards

The World Semiconductor Council (WSC) is comprised of the semiconductor industry associations (SIAs) of the United States, Korea, Japan, Europe, China and Chinese Taipei. Its goal is to promote international cooperation in the semiconductor sector in order to facilitate the healthy growth of the industry from a long-term, global perspective. Formed in 1996, the WSC early on recog- nized the industry’s obligation to respon- sibly manage its impact on the environment.

One of the council’s first acts was the issuing of a voluntary industry target to reduce the emission of perfluorinated compounds (PFC) to 10 percent below their 1995 levels by 2010. PFCs are significant greenhouse gases (GHG) and many can persist for extended periods in the atmosphere. Given the significant growth of the semiconductor industry over this 15 year period, this was a very aggressive goal. By the end of the period, all member SIAs were able to report that they had met, and in many cases, significantly exceed the stated goal. This rather impressive achievement was accomplished by two key efforts. The first was the replacement of traditional CF4 and C2F6 CVD cleaning gases with NF3, which readily dissociates in a plasma to provide fluorine, an effective cleaning gas, which, though toxic, is not a greenhouse gas. The second was the widespread adoption exhaust gas abatement.

In 2011 the industry set new targets for 2020, which it summarizes as:

• The implementation of best practices for new semicon- ductor fabs. The industry expects that the implementation of best practices will result in a normalized emission rate (NER) in 2020 of 0.22 kgCO2e/cm2, which is a 30 percent NER reduction from the 2010 aggregated baseline.

• The addition of “Rest of World” fabs (fabs located outside the WSC regions that are operated by a company from a WSC association) in reporting of emissions and the imple- mentation of best practices for new fabs.

• NER based measurement in kilograms of carbon equiva- lents per area of silicon wafers processed (kgCO2e/cm2), which will be the single WSC goal at the global level.

The original 2010 target focused primarily (and success- fully) on emissions from chemical vapour deposition (CVD) processes. The main area for potential improvement now, as illustrated by the figure, is etch, especially in older 200mm fabs where etch processes may not have been fitted with PFC abatement devices. This is particularly true for etch processes making extensive use of CF4, which has a very high global warming potential over a 100-year timescale (GWP100) of 7350, due largely to its atmospheric half-life of 50,000 years. It is extremely stable.

Some are predicting a prolonging of the productive lifetimes of 200mm fabs in conjunction with projected growth as a result of the growing market for internet of things (IoT). Many IoT devices do not require cutting-edge production technology and can be economically produced in older fabs. In any case, the onus is on our industry to continue our efforts to reduce any adverse effects on the environment we all share.

IC Insights recently released its September Update to the 2016 McClean Report. This Update included Part 2 of an extensive analysis of the IC foundry industry and a look at the current state of the merger and acquisition surge in the semiconductor industry. An excerpt from the M&A portion of this Update is shown below.

After an historic surge in semiconductor merger and acquisition agreements in 2015, the torrid pace of transactions has eased (until recently), but 2016 is already the second-largest year ever for chip industry M&A announcements, thanks to three major deals struck in 3Q16 that have a combined total value of $51.0 billion. As of the middle of September, announced semiconductor acquisition agreements this year have a combined value of $55.3 billion compared to the all-time high of $103.8 billion reached in all of 2015 (Figure 1). Through the first three quarters of 2015, semiconductor acquisition pacts had a combined value of about $79.1 billion, which is 43% higher than the total of the purchasing agreements reached in the same period of 2016, based on M&A data compiled by IC Insights.

In many ways, 2016 has become a sequel to the M&A mania that erupted in 2015, when semiconductor acquisitions accelerated because a growing number of suppliers turned to purchase agreements to offset slower growth in major existing end-use equipment applications (such as smartphones, PCs, and tablets) and to broaden their businesses to serve huge new market potentials, including the Internet of Things (IoT), wearable electronics, and strong segments in embedded electronics, like highly-automated automotive systems. China’s goal of boosting its domestic IC industry is also driving M&A. In the first half of 2016, it appeared the enormous wave of semiconductor acquisitions in 2015 had subsided substantially, with the value of transactions announced between January and June being just $4.3 billion compared to $72.6 billion in the same six-month period in 1H15. However, three large acquisition agreements announced in 3Q16, including SoftBank’s purchase of ARM, Analog Devices’ intended purchase of Linear Technology, and Renesas’ potential acquisition of Intersil) have insured that 2016 will be second only to 2015 in terms of the total value of announced semiconductor M&A transactions.

Figure 1

Figure 1

A major difference between the huge wave of semiconductor acquisitions in 2015 and the nearly 20 deals being struck in 2016 is that a significant number of transactions this year are for parts of businesses, divisions, product lines, technologies, or certain assets of companies.  This year has seen a surge in the agreements in which semiconductor companies are divesting or filling out product lines and technologies for newly honed strategies in the second half of this decade.

Many LED failures are the result of voids or other gap-type anomalies that block heat flow from the die.

BY TOM ADAMS, Sonoscan,Inc., Elk Grove, IL

A few years ago, the only commercial class of LED devices – HB-LEDs – was typically manufactured for applications requiring high reliability. The goal in most applications was to have few field failures, or at least few early-term field failures. Most HB-LED appliances were consequently fairly expensive.

Recently, however, the world of commercial LEDs has split into two parts: High power LEDs, which continue the tradition of reliability and high brightness in appli- cations that require those characteristics, and mid power LEDs, which fill less demanding roles and tend to have lower initial costs. The principle behind mid power LEDS is that consumers will accept a somewhat higher failure rate in appliances having relatively large numbers of LEDs. The gradual loss of light output is balanced by the lower replacement cost.

Recent work has also shed light on the typical mechanisms of failure in both types of LEDs. Most failures in LEDs generally are related to power supply problems, but many failures are the result of voids or other gap-type anomalies that block heat flow from the die. Not surprisingly, there is good correlation between the total voided area beneath the die and the LED’s junction temperature.
Acoustic micro imaging, usually in an automated format, is widely used to ensure LED quality, but with some changes to accommodate the new lower-price market. LEDs can be inspected acoustically in wafer form, as singulated devices before lens placement, and after lens placement by pulsing ultrasound into the heat sink at the bottom of the device (in failure analysis, they may also be inspected by grinding down much of the lens and pulsing ultrasound from above).

The primary change is that singulated mid power LEDs destined for lower-priced applications often need less intensive acoustic inspection. A percentage of such LEDs may be placed in trays and scanned by a system such as one of Sonoscan’s C-SAM® tools, but this done as a non-destructive monitoring step to ensure that large numbers of defective devices are not slipping through rather than as 100% inspection to remove all defective devices. High power LEDs, however, may require 100% acoustic inspection.

The chief structural concerns in both midpower LEDs and high power LEDs are defects that are capable of blocking heat flow from the die. At a much lower power level, the situation is similar to that of IGBT modules, where heat from the die must reach a heat sink below the die to be dissipated. IGBTs generate far more heat than LEDs, but like IGBT modules both LED classes must dissipate heat downward. The lens above the LED is a very poor thermal transmitter.

In some designs the LED may be attached directly to the metal heat sink by a layer of solder. More often there is some type of printed circuit board between the die and the heat sink, with a thermal interface material (solder, grease, epoxy or an adhesive) between the die and the printed circuit board and between the printed circuit board and the heat sink.

Gap-type defects anywhere along the path from the die top the heat sink are the chief targets of acoustic imaging at these depths. A delamination or void as thin as 200Å will reflect virtually all of the ultrasound that strikes it; it is also a very efficient blocker of heat. The various gap-type defects have various somewhat overlapping names: a delamination suggests an interface that was once bonded but was somehow pulled apart; a void suggests a flattened (probably) air bubble; a non-bond suggests two surfaces that should have been bonded but never were, perhaps because of contamination of one of the surfaces. The actual etiology of a gap-type defect may more likely be revealed by knowledge of the processes used in packaging the LED than in observing the defect’s structure.

FIGURE 1 is a high-resolution acoustic image of the solder layer between the substrate and the heat sink of an LED. The transducer of the acoustic microimaging tool traveled back and forth just above this wafer at a speed that can exceed 1 m/s. Each second the transducer repeated its pulse-echo function thousands of times, pulsing ultra- sound into the wafer and receiving the return echoes from material interfaces – homogeneous materials generate no echoes. The amplitude of each echo is recorded, and will determine the pixel color for that x-y location. Most material interfaces are between two solids, reflect roughly 20% to 80% of the ultrasound, and in monochrome images produce dark gray to light gray pixels.

Screen Shot 2017-04-21 at 9.49.46 AM

In the polychromatic color map used here, only in the white areas is the solder bonded to both the substrate and the heat sink. Red regions are not bonded, and thus contain an air gap and reflect virtually all of the ultrasound. More than half of the intended contact area is not bonded, a situation that might be acceptable for a mid power LED, but not for a high power LED. If the non-bonded area grows in size — as they tend to do after thermal cycling — this LED may overheat and fail. If it is a mid power LED assembly, though, the failure of some units may have been anticipated and overall performance may remain within acceptable limits.

When ultrasound and heat encounter the interface between a solid and a void, they react in somewhat different ways. A pulse of ultrasound is almost entirely reflected, with no change in its velocity. Essentially none of the pulse crosses the interface. Heat too is reflected, but also retarded. None of the heat crosses the the gap by conduction, although some heat may cross the gap by convection if the gap is filled with air. If the heat is reflected into a heat-retentive material, that material will heat up.

FIGURE 2 is the acoustic image of a single high power LED from which most of the lens above the die has been ground away to permit a less distorted acoustic view of the die and the die substrate. There is still some distortion caused by the remaining lens material; the die is actually rectangular, for example. But the critical depth – the interface between the lens and the die substrate – is clearly visible. In the color map used here, red indicates the very high reflection from a gap-type defect or delam- ination, in this case the interface between a solid (the lens) and the air in the gap. Like the red regions in Fig. 1, this delamination reflects nearly 100% of the ultra- sound. What this image reveals, then, is that the lens is separated from the substrate by a gap. In itself, this delamination is relatively unimportant as a blocker of heat, because it lies beside and not below the die. But gaps such as this one tend to grow when exposed to thermal cycling; if this gap grows, it is likely to expand under the die and block significant heat. It if grows large enough, it can cause the die to overheat and fail.

Screen Shot 2017-04-21 at 9.49.52 AM

Both high power and mid power LEDs are also imaged acoustically in wafer form in order to find widespread defects as early as possible. FIGURE 3 shows one depth in one region of an HB-LED wafer. The circular or oval white areas formed as follows: at one point in the placing of layers on the wafer, small elongate structures broke free and moved away from their original positions. When the next layer was put down, these structures prevented some points on the layer from reaching the intended depth and thus caused a rounded air-filled void to form. The void is white where there is an interface between the air and the solid layer above. During later handling, smaller particles moved around in the free space of the void until they became trapped under the lower “ceiling” near the edge of the void. These particles form a broken ring around the particle that created the void. They are dark because the interface is between the solid “ceiling” and the solid particle.

Screen Shot 2017-04-21 at 9.49.59 AM

As advanced device manufacturers identify needs for new and additional CMP steps, new slurry solutions can deliver exceptional planarization and defectivity within a stable CMP process.

BY ADAM MANZONIE, TODD BULEY, JIA-NI CHU and MIKE KULUS, CMP Technologies, Dow Electronic Materials, Newark, DE

Advanced logic and memory device nodes demand significantly greater performance from chemical mechanical planarization/polishing (CMP) processes. Due to the fast growing number and increased diversity of non-metal CMP steps, new requirements are emerging, such as enhanced planarization efficiency, near-zero level defectivity and substantial reductions in process cost versus previous device nodes. Highly tunable and dilutable CMP slurries, in conjunction with matched CMP pads and processes are needed to achieve both technical and economic objectives. In advanced front-end-of-line (FEOL) processing, a variety of new CMP steps for different layer combinations, such as oxide, nitride and polysilicon, need to be polished and each layer requires different rates, selectivities and tight process control.

These varied requirements neces- sitate new slurry formulations. A new family of dielectric CMP slurries will be examined, which uses state-of-the-art colloidal silica abrasives paired with advanced additives to offer high removal rates, planarization efficiency and exceptionally low defect levels. These newly commercialized slurries are offered to customers in concentrate form to minimize overall cost-of-ownership (CoO). Point-of-use dilution minimizes abrasive concentration without sacrificing CMP performance and process stability.

CMP technical trends and challenges

The semiconductor industry continues to see growth in both logic and memory chip demand, driven by expanding applications in segments such as mobile, server, data processing, communications, consumer electronics, industrial and automotive. Scaling and cost reduction to extend Moore’s Law continue to drive the needs for new transistor/ device architectures and technologies like 3D FinFETs, 3D NANDs and 3D packaging. CMP is a critical enabler to deliver these technologies.

In advanced logic nodes, there are an increased number of CMP layers (e.g., 22-28 layers at 7nm compared to 12 layers or 45nm).New technologies and material layers have not only offered additional opportunities but also presented new challenges for CMP consumables and tool sets [1].

In addition to low defectivity and reduced cost-of- ownership, key performance drivers such as planarization efficiency (PE), erosion, and dishing must have tight process control with-in-die (WID) and with-in-wafer (WIW) uniformity. New innovations are needed for these emerging requirements.

In advanced logic processes, the Polysilicon Open Polish (POP) requires nitride and oxide removal to then stop on polysilicon [2]. There is a need for a tunable slurry and stable pad life to enable low gate height variation and dishing. Self-Aligned Contacts (SAC) processes require polishing nitride and stopping on oxide; this neces- sitates use of a highly-selective slurry (nitride: oxide selectivity > 50:1). Multiple buff steps may be needed to generate nitride residual free surfaces, making a tunable slurry a good solution. Polysilicon gate CMP polishes amorphous- or polysilicon and stops in the same film. Gate height variation across the wafer is critical. Managing final thickness requirements through end-pointing is very challenging; preferably, it requires a pad/slurry process with some level of self-stopping and with high planarization efficiency for gate height control and low surface roughness. With shallow trench isolation (STI), since the needle-like structure fins are getting thinner and taller, there is a need for slurry with extremely high selec- tivity (>100:1 Ox: SiN) to minimize the nitride loss. New flowable CVD (FCVD) films used for gap-fill (e.g., in STI processes) are sensitive to deposition and annealing, and could cause high defectivity (particles) and rate instability.

Advanced memory applications are also incorporating additional CMP process steps (e.g., buff steps may be performed in a one-platen process with hard pads for improved defectivity and global uniformity after an etch step). There are enormous technical challenges to enable further scaling of current DRAM cell size. With the need for additional CMP steps, DRAM processes continue to demand higher removal rates to enable greater throughput and reduce overall CoO.
For advanced nodes, one consistency is that there are additional new CMP steps for both logic and memory (primarily 3D-NAND). Slurries with significant, charac- terized tunability, enabling low defectivity and a lower CoO, are required for multiple applications.

New slurry formulations

Semiconductor manufacturers rely on strong collaboration with materials suppliers to identify or develop slurries that meet these new specific and stringent require- ments. As an example, Dow has recently developed slurry options that address higher oxide removal, lower defectivity and lower cost-of-ownership in both ≤ 20nm DRAM and ≤ 28nm logic applications. For ≤ 14nm logic applications, different process requirements warranted an oxide slurry with high planarization efficiency and step height reduction combined with good polysilicon and nitride removal rates.

One of the commercial slurry products developed as a result of these requests is Dow’s OPTIPLANETM 2118 slurry, a low-abrasive, acidic pH silica slurry used for planarizing dielectric films in advanced CMP nodes. The enhanced CMP efficiency of this slurry is primarily enabled by a unique formulation that promotes favorable particle/wafer inter- action. As demonstrated in the plot of zeta potential vs. pH (FIGURE 1), colloidal silica abrasives have the same negatively-charged surface as the polished TEOS films (throughout all measured pH ranges from pH 2 to pH 11) and thus exhibit undesirable electrostatic repulsion during polishing. With the introduction of proprietary additives in the formulation, the new slurry formulation possesses a significantly shifted isoelectric point (IEP) and creates a positively-charged surface at acidic pH via additive adsorption onto the silica particle surface. Under such conditions, the particles are intuitively attracted to the wafer surface such that the point-of-use (POU) abrasives can be significantly reduced without sacrificing removal rate performance (FIGURE 2). This optimized formu- lation reflects precise control of the particle-wafer interface in order to maximize the CMP benefit.

Screen Shot 2017-04-21 at 9.43.02 AM Screen Shot 2017-04-21 at 9.43.09 AM Screen Shot 2017-04-21 at 9.43.53 AM Screen Shot 2017-04-21 at 9.43.58 AM

The slurry consists of spherical colloidal silica particles and a proprietary additive which adsorbs on to the abrasive particles and reverses the charge from negative to positive as illustrated in Fig. 2. The resulting electrostatic attractive forces between the abrasive particles (+ve) and dielectric film (-ve) leads to increased polishing efficiency (material removal rate/abrasive loading) as shown in FIGURE 3. For commercially available alkaline colloidal and fumed silica slurries, achieving high dielectric removal rates at low abrasive loading is extremely challenging, and hence they are generally used at >12 wt. % abrasive content for typical ILD applications. In comparison, this new slurry formulation can be used at 6 wt. % abrasive content at point of use (POU) for such applications.

Screen Shot 2017-04-21 at 9.44.45 AM

A variety of defects are generated during oxide CMP processes, including scratches, particle residues, pad debris and roughness-related non-visible defects. Scratches are widely believed to be the most detrimental to wafer yields. The onset of scratch formation is often a result of an increased number of large particles in the polishing slurry.2

OPTIPLANE 2118 has been formulated with highly controlled spherical silica particles and produced with advanced filtration technology. This slurry exhibited ~ 45% scratch reduction when used on undoped silica glass (USG) wafers (FIGURE 4) and demonstrates > 70% scratch reduction on internal TEOS wafers compared to those polished with conventional fumed silica slurry under similar polishing processes. Such defect benefits, together with remarkably reduced pad wearing and polishing temperature, can be attributed to the use of low POU abrasive content and steric protection from additive adsorption on the polished surface.

Screen Shot 2017-04-21 at 9.45.13 AM Screen Shot 2017-04-21 at 9.45.19 AM

While this new commercial slurry formulation is for dielectric applications, R&D teams are also developing and characterizing slurries with specific selectivities targeted at advanced FEOL CMP steps such as POP and SAC amongst others. Through internal development work and customer engagements, a wide range of rates and selectivities can be obtained from other formulations that will be commercialized in the OPTIPLANE 4000 series family.

Conclusion

As advanced device manufacturers identify needs for new and additional CMP steps, new slurry solutions can deliver exceptional planarization and defectivity within a stable CMP process. Advanced performance can be achieved while lowering process costs, through low point-of-use abrasive concentration, high removal rates and exceptional process consumable lifetime. New innovations in CMP slurries are helping to enable success at advanced nodes for next-generation manufacturing as the industry continues to move forward.

Acknowledgement

The authors wish to acknowledge Yi Guo, John Nguyen, Arun Reddy, Peter van der Velden, and Matt VanHanehem for their contributions to this article. In addition, appre- ciation is also extended to Julia Chou and Linus Khoo for providing process requirements, and the applications lab teams at Newark, Delaware and Hsinchu, Taiwan for their technical support.

References

1. Babu, S. (2016). Advances in chemical mechanical planarization (CMP), 1st Edition. Woodhead Publishing.
2. Choi, J., Prasad, Y. N., Kim, I., Kim, I., Kim, W., Busnaina, A. A., & Park, J. (2010). Analysis of Scratches Formed on Oxide Surface during Chemical Mechanical Planarization. Journal of The Electrochemical Society J. Electrochem. Soc., 157(2). doi:10.1149/1.3265474.
Reflexion is a trademark of Applied Materials, Inc. OPTIPLANE is a trademark of The Dow Chemical Company (“Dow”) or an affiliated company of Dow.

All authors are with CMP Technologies, Dow Electronic Materials. ADAM MANZONIE is Global Business Director, Slurry, TODD BULEY is Global Slurry Applications Director, JIA-NI CHU is Slurry Marketing Manager, and MIKE KULUS is Strategic Marketing Director.