SEMI 2020: “There are far better things ahead than any we leave behind”

(Note: This is Part 2 of a two-part article; Part 1 is here)

By Denny McGuirk, president and CEO, SEMI

“Do not go where the path may lead, go instead where there is no path and leave a trail,” was how I started last week’s article.  In that article we looked back on 2016 and the incredible progress of the industry and how it continually cuts new trail and keeps moving at the speed of Moore’s Law.  In this week’s follow up, I would like to talk about where the industry is going and how SEMI is changing to keep up with it.  As not everyone is aware of all SEMI does, the following is a quick reminder on how SEMI works to represent the industry before looking ahead to 2017, specifically, and beyond.

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and I’d like to update you on what we’ve accomplished and what’s to come.

SEMI 2020: “The Only Time You Should Look Back is to See How Far You’ve Come”

SEMI organized its SEMI 2020 transformation into three basic pillars of the SEMI 2020 strategy.  First, “reenergizing the base,” where SEMI focuses on enriching delivered value for the present day needs of its traditionally engaged membership base.  Second, “building communities and collaboration,” where SEMI works to develop specific forums and groups to meet specific needs and focus on specific technologies and products.  Third, “evolving SEMI value propositions for 2020,” which is the work of changing and innovating SEMI products and services for the needs of the industry in the future.

To date, SEMI has made great progress on these three pillars, here are a few examples:

1. Reenergize Base

  • Grew membership to ~2,000 global SEMI member companies
  • Growth in SEMICON expositions:
    • 248,738 global exhibition visitors in 2016 (up 8 percent year-over-year)
    • 4,410 global exhibitors in 2016 (up 5 percent in m2 of exhibition space sold)
  • Realignment of SEMI with organization changes in Americas, China, Europe, and HQ

2. Build Communities and Collaboration

 

  • FlexTech joined SEMI as Strategic Association Partner: SEMI FLEX conferences and programs are now in America, Europe, Korea, SEA and Japan
  • MEMS and Sensors Industry Group (MSIG) joined SEMI as Strategic Association Partner
  • SEMI Special Interest Groups developed and globalized — Chemical and Gases Manufacturers Group (CGMG), SEMI integrated Packaging and Test (SiPAT), Semiconductor Components, Instruments & Subsystems (SCIS), etc. — integrating broad areas of the supply chain
  • Development of SEMI Collaborative Technology Platforms with initial activities in Interconnect, Heterogeneous Integration Roadmap (partnered with IEEE CPMT, EDS, & Photonics Societies), etc.
  • Introduction and co-sponsoring of special interest programs such as FUTURECAR and regional SMC conferences

 

3. Evolve SEMI Value Propositions for 2020

  • SEMI (automation) Standards adapted for Smart Manufacturing (Industry 4.0)
  • Improved channels: new SEMI Global Update, new website, social media (follow SEMI on LinkedIn and Twitter), infographics
  • New data products such as 200mm reportpackaging report, mobile version of fab database (FabView)
  • New programs such as SEMI European MEMS conference
  • SEMI Foundation widening scope on Workforce Development
  • Advocacy activities leveraging collective action on trade, industry funding, export control, taxation, and sustainable manufacturing (including regulation of safety, materials, and environmental impact).

 

SEMI 2020: “The Road to Success is Always Under Construction”

 

SEMI continues to conduct surveys, uses multiple means of gathering the voice of the customer, and constantly aligns with guidance from its various committees, regional advisory boards, and International Board of Directors.  Despite its name, SEMI 2020 is a journey and not a destination.  SEMI will continue to evolve, develop, and add critical communities, services, products, and industry advocacy as SEMI’s members evolve.

While many of the SEMI activities captured above will continue, the following provides a sampling of activities more specific to SEMI’s work in 2017.

1. Reenergize Base

  • Increase frequency and depth of SEMI outreach and grow SEMI’s global membership and engagement
  • Launch SEMICON Europa 2017 co-location with productronica in Munich to connect to electronics manufacturing supply chain while preserving SEMI’s core community within its own show
  • Launch new engagement and experiential components at SEMICON West and SEMICON Japan
  • Move HQ headquarters to more member-suited, collaborative, efficient, and smaller building in Milpitas

 

2. Build Communities and Collaboration

 

  • Develop four vertical application collaborative forums:  World of IoT, Smart Automobile, Smart Manufacturing, and Smart MedTech
  • Fully integrate FlexTech and MSIG into SEMI’s global infrastructure and develop regional communities and events for these distinct adjacent communities
  • Provide association services to the Fab Owners Association as a SEMI Strategic Association Partnership
  • Continue to develop and increase global participation in SEMI Special Interest Groups such as SCIS, CGMG, and SiPAT to provide the specific and current needs of SEMI’s members

 

3. Evolve SEMI Value Propositions for 2020

  • Provide greater inbound and outbound member visibility and member services for fast-developing China region
  • Further develop SEMI Standards for Smart Manufacturing including a focus on big data and security
  • Advocate for funding for SEMI member pre-competitive projects in all global regions
  • Develop and improve industry training and education capabilities in all regions
  • Raise visibility for SEMI in securing unrestricted trade for semiconductor manufacturing and extended supply chain

“Roads Were Made for Journeys, Not Destinations”  

This quotation, generally attributed to Confucius, ties the themes of the road of this year’s annual update to my personal journey.  As you may know, at the end of 2016, I announced my intention to retire and while I’ll remain until a successor is identified, this will be my last SEMI update.

My personal journey has definitely not been a straight line and that’s made it all the more interesting – and, I hope, made me a “more skillful driver.”  Instead of the road, the sky used to be my home (although, with trips to Asia and Europe, sometimes it still feels like I’m still there!), with many years flying with the United States Air Force.  After that, my path led to the world of non-profit leadership and eventually, prior to SEMI, leading IPC, the interconnect trade association.  As the industry has blurred the borders of PC boards and substrates and semiconductor packages, maybe it was natural that I would also shift from IPC to SEMI.

I’ve been at SEMI for over five years and have constantly been amazed by the speed of the industry, the exceptional professionals and their astounding innovations, and the tight global cooperation and support.  When I started, there was a flashpoint in the potential jump to pursue the 450mm wafer size.  I got to know our industry and our members very quickly!  But, I almost immediately learned, this is a unique industry where collaboration across the electronics manufacturing supply chain is critical, where global stakeholders are well connected, and where – with Moore’s Law as precedent – industry leaders are used to working together, no matter if collaborators or competitors, for the good of the industry.

I am grateful to call many in our industry friends.  It is with regret that I won’t be seeing these friends as frequently as before, certainly.  However, I am pleased to be leaving behind a sound a valued SEMI organization with the professionals and plans in place to carry SEMI 2020 forward and deliver more valued services, products, and above all connections for its members.  I am happy for my time at SEMI and am grateful to the SEMI staff, SEMI International Board of Directors, and SEMI Members for the opportunity to serve the amazing association

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.