Yearly Archives: 2017

Kyma Technologies, Inc., a developer of advanced wide bandgap semiconductor materials technologies, announced it has used its new K200 hydride vapor phase epitaxy (HVPE) growth tool to produce high quality 200mm diameter HVPE GaN on QST (QROMIS Substrate Technology) templates.

Today’s announcement of Kyma’s development of 200mm diameter GaN on QST templates follows its announcement in 2016 of its demonstration of 150mm diameter GaN on QST templates in partnership with QROMIS, Inc. (formerly Quora Technology, Inc.) and its recent announcement of the commissioning of Kyma’s K200 HVPE growth tool.

200-mm GaN on QST® Template

Pictured is one of the demonstrated 200mm diameter HVPE GaN on QST templates which consists of 10 microns of HVPE GaN grown on a 5 micron MOCVD GaN on QST wafer provided by QROMIS, Inc. X-ray diffraction rocking curve linewidths for the templates fall in the range of 250 and 330 arc-sec for the symmetric {002) and asymmetric {102} XRD peaks, respectively, which is consistent with high structural quality. Low wafer bow (~50 microns) and smooth surface morphology suggest these materials should support high performance device manufacturing.

Kyma’s newly constructed K200 HVPE tool represents a first for the industry and was designed by Kyma engineers to enable uniform and rapid growth of high quality GaN on a number of different substrates.

Keith Evans, President & CEO, commented, “We have successfully transferred the process for making high quality GaN to our K200 HVPE tool. The structural quality of the GaN produced on QROMIS’ QST substrate is excellent. We are currently engaging with customers interested in large diameter GaN on QST templates.”

Kyma and Qromis are partnered for this work under a Kyma-led US DOE Phase IIB SBIR with award number DE-SC0009653.

QROMIS recently began manufacturing 200-mm QST substrates and GaN-on-QST wafers using its foundry partner Vanguard International Semiconductor (VIS). VIS is planning to offer GaN power device manufacturing services on 8-inch diameter QST platform in 2018.

QROMIS co-founder & CEO Cem Basceri added, “QROMIS’ CMOS fab-friendly 200-mm diameter QST substrates and GaN-on-QST wafers represent a disruptive technology, enabling GaN epitaxy from a few microns to hundreds of microns for GaN power applications ranging from 100V to 1,500V or beyond in lateral, quasi vertical or vertical device forms, and device manufacturing on the same 8-inch or 12-inch diameter platform at Si power device cost. Kyma’s K200 HVPE technology represent an important value-add to QST-based GaN power device manufacturing by enabling the low cost deposition of a thicker and lower defect density GaN surface than is practically achievable using MOCVD growth alone.”

Kyma is also teamed with a semiconductor equipment OEM to manufacture K200 HVPE tools for customers who prefer to bring Kyma’s HVPE GaN growth process in-house.

The technologies to watch identified by TechInsights analysts at the beginning of the year have not been disappointing.

BY STACY WEGNER, Ottawa, Canada, and JEONGDONG CHOE, Ottawa, Canada

TechInsights analysts have been keeping an intent watch on where technology has progressed, how it’s changing, and what new developments are emerging. At the end of the first quarter, our analysts shared their insights and thoughts about what to keep an eye on as the year unfolds. In this article, they provide an update on what 2017 has delivered so far.

Intelligent, connected devices

As we wrote earlier this year, in 2016, wearables were extremely interesting mainly because there was so much uncertainty around whether or not the market would be viable. Some, no, many, say the wearables market will cool off and possibly just expire. At TechInsights, we do will not speculate about whether this market is going to survive. We will report what we find and analyze what is currently being sold. Apple, Samsung, and Huawei have all released smartwatches for what would parallel a “flagship” in the mobile market (FIGURE 1). Fitness bands are becoming even ”smarter” and combining sensors where possible. Perhaps one of the most notable developments is Nokia’s acquisition and complete integration of Withings into its existing brands.

Screen Shot 2017-11-07 at 12.24.01 PM

We are witnessing the “rise of the machines,” in products from scales and hair brushes to rice cookers. Primarily these devices offer consumers convenience. For example, with a connected scale, instead of recording your weight manually, the smart scales do the job for you, syncing with various health apps so you can track your weight over time. The connected hair brush provides insights into your hair’s manageability, frizziness, dryness, split ends and breakage to provide a hair quality score. Brushing patterns, pressure applied and brush stroke counts are analyzed to measure effectiveness of brushing habits and a personal diagnosis is provided with tips and real-time product recommendations. The most common connected devices include refrigerators, lights, washing machines, thermostats, and televisions.

One dominant example is the ever-popular Amazon Echo, which has taken on a life of its own and is generating spin-off markets and competition. In July, it was reported that Amazon’s Alexa voice platform passed 15,000 skills — the voice-powered apps that run on devices like the Echo speaker, Echo Dot, newer Echo Show and others. The figure is up from the 10,000 skills Amazon officially announced in February. Amazon’s Alexa is building out an entire voice app ecosystem putting it much further ahead than its nearest competitor. The success seen with Echo has motivated other companies like Google, Lenovo, LG, Samsung and Apple to release compet- itive speakers, however it is estimated that Amazon is expected to control 70 percent of the market this year. In addition, Amazon and Microsoft recently announced a partnership to better integrate their digital assistants. This cross-platform integration provides users with access to Cortana features that Alexa is missing, and vice versa. Finally, the high- performance far-field microphones found in Amazon Echo products may soon find their way to other hardware companies as Amazon announced that the technology is available to those who want to integrate into the Alexa Experience. With its new reference solution, it’s never been easier for device makers to integrate Alexa and offer their customers the same voice experiences.

In the mobile market overall, we are seeing a strong emergence of devices targeted for the very hot market of India. The mobile devices for this market range from supporting 15 or more cellular bands to as few as five cellular bands, and that is for smart- phones. At TechInsights, we will be analyzing OEMs in India like Micromax, Intex, and Lava to see how they approach dealing with strong competitors like Samsung and Xiaomi.

Memory devices

In early 2017, 32L and 48L 3D NAND products were common and all the NAND players were eager to develop next generation 3D NAND products such as 64L and 128L. 3D NAND has been jumping into 64L (FIGURE 2). Samsung, Western Digital, Toshiba, Intel, and Micron already revealed CS or mass-products on the market. SK Hynix also showed their 72L NAND die as a CS product. In the second half of this year, we will see 64L and 72L NAND products on the commercial market. For n+1 generation with 96L or 128L, we expect that two-stacked cell array architecture for 3D NAND would be adopted in 2018. Micron/Intel will keep their own FG based 3D NAND cell structure for the next generation.

Screen Shot 2017-11-07 at 12.24.10 PM

Referring to DRAM, all the major players already used their advanced process technology for cell array integration such as an advanced ALD for high-k dielectrics, low damage plasma etching and honeycomb capacitor structure. Buried WL, landing pad and plug for a capacitor node, and MESH structure are still main stream. Samsung 18nm DRAM products for DDR4 and LPDDR4X are on the market. SK Hynix and Micron will reveal the same tech node DRAM products in this year. n+1 gener- ation with 15nm or 16nm node will be next in 2018. Once 6F2 15nm DRAM cell technology is successful, 4F2 DRAM products such as a capacitorless DRAM might be delayed. In 2018, 18nm and 15nm DRAM technology will be used for GDDR6 and LPDDR5.

When it comes to emerging memory, 3D XPoint memory technology is a hot potato (FIGURE 3). The XPoint products from Intel are on the market as an Optane SSD with 16GB and 32GB. Performance including retention, reliability and speed are not matched as expected, but they used a double stacked memory cell between M4 and M5 on the memory array. It’s a PCM with GST based material. An OTS with Se-As-Ge-Si is added between the PCM and the electrode (WL or BL). We expect to see multiple (triple or quadruple) stacked XPoint memory architecture within a couple years. For other emerging memory such as STT-MRAM, PCRAM and ReRAM, we’re waiting on some commercial products from Adesto (CBRAM 45nm, RM33 series) and Everspin (STT-MRAM pMTJ 256Mb, AUP-AXL-M128).

Screen Shot 2017-11-07 at 12.24.21 PM

Conclusion

The technologies to watch identified by TechInsights analysts at the beginning of the year have not been disappointing. As our analysts continue to examine and reveal the innovations others can’t inside advanced technology, we will continue to share our findings on these and new technologies as they emerge, including how they are used, how they impact the market, and how they will be changed by the next discovery or invention.

Pixelligent Technologies, the inventor of PixClear high-index nanocomposites for the OLED display, HD display, and solid state lighting markets, announced today it has named Alain Harrus, Ph.D. and Gene Banucci, Ph.D. to the Pixelligent Board of Directors.

“Alain and Gene are joining the Pixelligent team at a critical time in our development as we are emerging from years of product development and application engineering, to widespread adoption of our nanocomposites across all of our target markets. The combined vast experience which Alain Harrus brings on the OLED and semiconductor equipment front, and that Gene Banucci brings from having built one of the most successful advanced materials companies, is an incredibly valuable addition to the Pixelligent team and we are honored to have them,” commented Craig Bandes, CEO of Pixelligent Technologies.

Alain Harrus is currently the CEO of Kateeva, a manufacturer of a deposition equipment platform utilizing ink jet printing, with its initial focus on mass production of OLED displays. Kateeva’s innovations are helping to accelerate the adoption of OLED and other advanced display technologies. Prior to Kateeva, Alain was a Partner at Crosslink Capital, a San Francisco-based venture capital company where he led the firm’s semiconductor and energy technology investment activities. Before Crosslink he was the CTO at Novellus Systems—now part of Lam Research. “I’m excited to be joining the Pixelligent Board as the Company is entering its inflection point and emerging as a leading provider of high-efficiency materials to the OLED and HD display markets,” said Alain Harrus. Pixelligent and Kateeva have been partnering to optimize advanced display process solutions for the OLED for the past 12 months.

Gene Banucci is the former founding CEO of ATMI.  Gene served as CEO of ATMI from 1986-2004 and remained on the Board until the company was sold for $1.1B in 2014. Under his leadership the company completed an IPO and he grew the company to $245 million in revenues when he retired.  Since retiring as CEO, he has served on over 10 Boards across numerous industries.  “I have known and worked with executives at Pixelligent and have been following the Company’s progress for the last few years.  I am impressed with the balanced approach that Pixelligent has executed on both the market-leading materials they have developed as well as their proprietary mass production manufacturing platform.  I look forward to working with the team to help firmly establish Pixelligent as a leading advanced materials supplier to the OLED and Solid State Lighting markets,” said Gene Banucci.

“These are exciting times for Pixelligent and we expect 2018 to be a record year in terms of revenues and commercial wins across all of our core OLED display, OLED lighting, HD Display, and LED lighting markets,” said Bandes.

SEMI announced today that the Industry Strategy Symposium (ISS) 2018, will take place January 15-18 at Half Moon Bay’s Ritz-Carlton Hotel, with the theme “Smart, Intuitive & Connected: Semiconductor Devices Transforming the World.”  ISS is the year’s first executive check-in, bringing together leading analysts, researchers, economists, and technologists for insights on the forces impacting the semiconductor industry. The annual symposium offers executives a unique platform for identifying growth opportunities and gaining industry intelligence to help them ensure that their business plans and forecasts are based on up-to-the-minute market conditions. Registration for ISS is now open.

Major developments are transforming the extended supply chain — artificial intelligence, intelligent vehicles, augmented and virtual reality, and limitless connectivity within the cloud. Through collaboration across an expanding ecosystem and advanced technical innovations, today’s electronics are incorporating features that defy convention, while constantly raising performance and lowering power consumption, with smaller footprints,  reduced device sizes, and increasingly packaging heterogeneously integrated components.

The ISS 2018 will feature insightful keynote addresses, panel discussions, and presentations spanning four key session topics:

  • Economic Trends: Get an insider’s view from Alpha Capital Partners, BCA Research, Gartner, IHS Markit and SEMI.
  • Market Perspective: Autonomous cars, virtual reality, and cloud connectivity — where’s the growth? Hear perspectives from Amazon Web Services, Mentor (a Siemens Business), Nissan Research Center Silicon Valley and Oculus.
  • Technology: Emerging applications and major advances in equipment, materials, design, and packaging. Get insights from executives at ASM, ASML, IC Knowledge, imec, Intel, and Versum Materials.
  • Societal Disruptions by Technology: Robotics, artificial intelligence, social media — hear from representatives of Accenture, IBM, McKinsey & Co., Tufts University and VLSI Research, among others.

The industry is going through a major growth cycle and the challenges remain to stay strong ahead of the cycles. SEMI Industry Strategy Symposium 2018 will give industry professionals the knowledge needed to succeed. To learn more and to register, visit http://www.semi.org/en/ISS

The RC delay issues started a few nodes ago, and the problems are becoming worse.

BY ZSOLT TOKEI, imec, Leuven, Belgium

With the 7nm technology node in the development phase and the 5nm node moving into development, transistor scaling gets ever more complex. On top of that, the performance benefits gained at the front-end-of-line (i.e., the transistors) can easily be undone if the back-end-of-line can’t come along. BEOL processing involves the creation of stacked layers of Cu wires that electrically interconnect the transistors in the chip. Today, high-end logic chips easily have 12 to 15 levels of Cu wires. With each technology node, this Cu wiring scheme becomes more complex, mainly because there are more transistors to connect with an ever tighter pitch. Shrinking dimensions also means the wires have a reduced cross-sectional area, which drives up the resistance-capacitance product (RC) of the interconnect system. And this results in strongly increasing signal delay. The RC delay issues started a few nodes ago, and the problems are becoming worse. For example, a delay of more than 30% is expected when moving from the 10nm to the 7nm node.

The current BEOL flow

Cu-based dual damascene has been the workhorse process flow for interconnects since its introduction in the mid 1990s. A simple dual damascene flow starts with the deposition of a low-k dielectric material on a structure. These low-k films are designed to reduce the capacitance and the delay in the ICs. In a next step, this dielectric layer is covered with an oxide and a resist, and vias and trenches are formed using lithography and etch steps. These vias connect one metal layer with the layer above or below. Then, a metallic barrier layer is added to prevent Cu atoms from migrating into the low-k materials (FIGURE 1). The barrier layers are deposited with physical vapor deposition, using materials such as tantalum and tantalum nitride, and subsequently coated by a Cu seed barrier. In a final step, this structure is electroplated by Cu in a chemical mechanical polishing (CMP) step.

Screen Shot 2017-11-07 at 11.49.49 AM

A 5nm technology full dual damascene module

The semiconductor industry is hugely in favor of extending the current dual damascene technology as long as possible before moving to a new process. And this starts with incremental changes to the current technology, which should suffice for further scaling to at least the 5nm technology node. Researchers at imec have demonstrated a full dual damascene module for the 5nm technology node. At this node, the BEOL process becomes extremely complex, and interconnects are designed at very tight pitches. For example, a 50% area scaling in logic and 60% scaling of an SRAM cell from 7nm to 5nm results in a gate pitch at around 42nm and an intermediate first routing metal at 32nm pitch (or 16nm half pitch, which is half the distance between identical features). In these BEOL layers, trenches are created which are then filled with metal in a final metallization step. In order to create electrically functional lines, perpendicular block layers to the trenches are added, where metal traces are not formed. One of the many challenges to scaling the interconnects relates to the patterning options. Patterning these tight pitch layers is no longer possible by using single immersion lithography and direct etch steps. Only multi-patterning – which is known to be very costly and complex – is possible either by immersion or by EUV or by a combination of immersion and EUV exposures to form a single metal layer. At IITC, imec showed a full integration flow using multi-patterning, which enables the patterning of tight-pitch metal-cut (the blocks), and effectively scaling the trench critical dimension to 12nm at 16nm half pitch. The researchers also looked at the reliability, for example at electromigration issues caused by the movement of atoms in the interconnect wires. They demonstrated the ability of imec’s Cu metallization scheme at 16nm critical dimension with extendibility to 12nm width, and investigated full ruthenium (Ru) metallization as copper replacement.

Scaling the BEOL beyond the 5nm node

For the technology nodes below the 5nm, the team of imec is investigating a plethora of options and comparing their merits. Options include new materials for conductors and dielectrics, barrier layers, vias, and new ways to deposit them; innovative BEOL architectures for making 2.5D/3D structures; new patterning schemes; co-optimization of system and technology, etc.

For example, to achieve manufacturable processes and at the same time control the RC delay, scaling boosters, such as fully self-aligned vias, are increasingly being used. Via alignment is a critical step in the BEOL process, as it defines the contact area between subsequent interconnect levels. Any misalignment impacts both resistance and reliability. Imec’s team has shown the necessity of using a fully self-aligned via to achieve overlay specifications, and proposed a process flow for 12nm half pitch structures.

Also, self-assembled monolayers (SAMs) open routes to new dielectric and conductor schemes. SAMs composed of sub-1nm organic chains and terminated with desired functional groups can help engineering thin-film dielectric and metal interfaces, and can strongly inhibit interfacial diffusion. The use of SAMs has been a topic of research for the past ten years. Imec has now moved this promising concept from lab to fab, and combined SAMs with a barrier/liner/metallization scheme on a full wafer. The researchers investigated the implica- tions on the performance and scaling ability of this process flow, and demonstrated a ~18% reduction in the RC of 22nm half-pitch dual damascene intercon- nects, due to a better interface and thinner barrier.

For conventional BEOL metallization, a barrier layer is coated by a Cu seed barrier, and this structure is electroplated with low-resistive Cu, which acts as the conductor. But when moving to sub-10nm interconnects, the resistivity of Cu continues to increase. At the same time, the diffusion barrier – which is highly resistive and difficult to scale – is taking up more space, thereby increasing the overall resistance of the barrier/Cu structure. Therefore, alternative metals are being investigated that could possibly serve as a replacement for Cu and do not require a diffusion barrier. Among the potential candidates, such as Co, Ni, Mo, etc., platinum-group metals, especially ruthenium (Ru), have shown great promise due to their low bulk resistivity and resistance to oxidation. They also have a high melting point which can result in better electromigration behavior (FIGURE 2). Imec has realized Ru nanowires with 58nm2 cross section area. The nanowires exhibit low resistivity and robust wafer-level reliability. For example, a very high current carrying capacity with fusing currents as high as 720MA/cm2 was demonstrated.

Screen Shot 2017-11-07 at 11.50.00 AM

At the 2017 IITC conference, this author was invited to take part in a panel discussion, organized by Applied Materials, to discuss the latest developments in metallization at single-digit nodes, the challenges and bottlenecks arising at these very small dimensions, and new application-driven requirements. Distinguished speakers from the technical field reviewed viable solutions for extending the current technology and alternative options were discussed. From the discussion it is clear that the biggest immediate benefit can be found in the area of conductors – both from the material side as well as design. Indeed, it is driving the replacement of copper at specific metallization levels. Other avenues – such as dielectric innovations, functionality in the BEOL or 2D materials – remain interesting options for the R&D pipeline.

As an option that is further out, spin wave propagation in conductors is an alternative signaling to traditional electron based propagation.

Adding additional functionality in the BEOL

In the future, more and more technology options may get dictated by the requirements of systems or even applications. This could result in a separate technology for e.g. high-performance computing, low-power mobile communication, chips for use in medical applications, or dedicated chips for IoT sensors. Along the same lines, imec is investigating the benefits of introducing additional functionality in the BEOL.

More specifically, imec is evaluating the possibility of integrating thin-film organic transistors – with typically low-leakage level – into the BEOL interconnect circuitry of Si FinFETs. The potential advantages of fabricating them together are mainly a reduced power consumption and improved area saving. A variety of circuits can fully utilize the benefits of this hybrid processing, including portable applications, eDRAM, displays and FPGA applications. As a concrete example, imec researchers are currently merging imec’s expertise in BEOL technologies and in thin-film-based flat panel displays, thereby opening opportunities for new applications…

Radiant Vision Systems, a provider of high-resolution imaging solutions for automated visual analysis of devices and surfaces, announces the release of the INSPECT.assembly system, a new turnkey automated visual inspection station for in-line assembly verification. The INSPECT.assembly is fully-integrated with Radiant technology and configured to precise tolerances to meet production-level inspection needs of complex electronic assemblies. The INSPECT.assembly system detects the presence, position, and integrity of components including screws, cables, connectors, and other critical features before final device enclosure to automate assembly inspection.

radiant visions

“Electronics manufacturing processes today are largely automated. However, final inspection for board-based connected assemblies has lacked an effective automated solution that ensures both consistency and accuracy,” says Davis Bowling, Radiant’s Regional Account Manager for assembly verification applications. “At the final stages of production where internal components are verified – before electronics are enclosed before or after functional testing – human inspectors remain the primary inspection method. This is due to the human’s superior visual acuity and judgment over typical machine vision systems for complex visual analysis. Humans can quickly detect very subtle defects in a variety of assembly contexts, even as parts change. However, human inspection lacks an automated system’s repeatability. To apply an automated solution in these contexts, the technology must offer the same level of visual acuity and judgment to ensure failures do not escape or result after goods are shipped.”

Radiant’s new INSPECT.assembly system is a turnkey inspection station that employs ProMetric® Y imaging systems with camera resolution (up to 29 megapixels) and dynamic range (above 70 dB) far exceeding the specifications of typical machine vision systems. Applied in photometric measurement of light and color in displays and backlit components, ProMetric cameras capture fine-detail images with a level of precision that rivals human visual acuity. Because INSPECT.assembly is fully-integrated with Radiant camera, lighting, fixturing, and software, Radiant engineers are able to design each INSPECT.assembly to match the specifications of each customer application. This advanced vision technology solves critical inspection challenges through a combination of the image registration & analysis functions of the camera with proprietary machine vision “super tools” in INSPECT Software, which blend multiple machine vision software algorithms in a single tool to enable comprehensive analysis of specific features. For instance, a tool can be engineered with the unique algorithms required to locate the routing path of a cable to ensure that it is properly seated around guides on a board-based assembly.

“Capturing precise feature flaws during final inspections is critical not only for preventing functional failures in the manufacturing process, but also latent failures that may occur after shipment,” states Bowling. “A cable that is routed away from its guide may be pinched or damaged with repeated device use. A loose connector may detach with vibration. These issues may cause a device to fail after it has left the manufacturing facility, resulting in a return or potentially a broader product recall. The INSPECT.assembly’s imaging capability combined with custom-configured software allow manufacturers to catch subtle errors like these that human inspectors, standard machine vision systems, and functional testing may miss.”

Radiant’s new INSPECT.assembly system rivals human visual acuity and judgment for detecting defects while quantifying visual data for automated operations, bridging the gap between human and machine vision inspection for the most challenging assemblies. Occupying the same physical footprint as a human operator on the line, the INSPECT.assembly system easily rolls onto moving conveyers, adjusting to heights from 525-950 mm. The system features a touch screen for results monitoring, adjustment of inspection tolerances, and part changes. The system’s INSPECT Software is pre-configured with multiple inspection tool recipes specific to each part, enabling adaptability to line changeover. The system also offers reporting functionality, barcode reading, and data output for traceability of inspection results and process control to improve operations for reducing product returns and recalls.

MagnaChip Semiconductor Corporation(NYSE: MX), a designer and manufacturer of analog and mixed-signal semiconductor products, announced today it now offers a 0.35 micron 700V Ultra-High Voltage process technology (UHV) that reduces mask counts, manufacturing time and cost for power-related AC-DC products. This UHV process technology offers 700V nLDMOS, 700V JFET, and 5.5V CMOS devices that are suitable for manufacturing AC-DC converter ICs and LED driver ICs.

The demand for AC-powered products in home appliances continues to increase, creating the need for highly efficient and cost-competitive AC-DC converter ICs, AC-DC chargers and LED driver ICs.  MagnaChip’s 0.35 micron 700V UHV process technology is a suitable match to manufacture these types of power-related products.

MagnaChip provides various types of UHV technology to meet the diverse demands of the customers. HP35ULB700, the newly developed UHV process, eliminates five photolithography steps through process simplification compared with MagnaChip’s previous generation of UHV technology, making it possible to reduce manufacturing cost and to accelerate the time to market. Among the devices offered in HP35ULB700 are 700V low Ron nLDMOS, 500V nLDMOS, 700V JFET, 5.5V CMOS, BJT, 700V resistor, BP cap, and MIM and fuse. All these devices enable the integrated solution of AC-DC converter ICs and LED driver ICs. The 700V low Ron nLDMOS devices offer improved specific-on-resistance of 150 mohm·cm2. In addition, the devices enable various design schemes, including the possibility to separate or connect the source and the bulk in nLDMOS.

YJ Kim, MagnaChip’s Chief Executive Officer, commented, “Our  0.35 micron 700V UHV technology  provides our foundry customers with a high-performance, highly efficient manufacturing process for AC-DC converter ICs and LED driver ICs for various LED lighting applications.” Mr. Kim added, “To meet the diverse customer requirements, MagnaChip will continue to develop new UHV technologies such as customer-specific UHV processes with additional option devices.”

Oxide thin-film transistor (TFT) liquid crystal display (LCD) panels are increasingly adopted in mobile PCs due to their feature of high resolution while consuming low power. Global shipments of large oxide TFT LCD panels of 9 inches or larger are expected to grow from 20 million units in 2016 to 55.6 million units in 2017, according to new analysis from IHS Markit (Nasdaq: INFO). Of those, 51 million units are estimated to be applied to mobile PCs, which include notebook PCs and tablet PCs, up 200 percent from 17 million units in 2016.

110617_large_oxide_tft-lcd_panel_shipments

“Demand for high-resolution panels has increased as media content for mobile PCs became available in higher resolutions,” said David Hsieh, senior director at IHS Markit. “Apple’ and Microsoft’s use of oxide TFT LCD panels for products – iPad, iPad Pro, and Surface, respectively – helped increase the oxide mobile PC panel market and encouraged other PC brands to follow suit.”

Low-temperature polysilicon (LTPS) and oxide TFT LCD solutions are major candidates for displaying high-resolution images, and they are expected to account for more than 19 percent of the entire mobile PC display market in 2017, according to the Large Area Display Market Tracker by IHS Markit.

While LTPS can deliver higher resolution images and consume less power than oxide TFT LCD or a-Si TFT LCD, it has its own limits: its production cost is high and the yield rate is low. In addition, it is less efficient to produce large panels. Albeit not as high resolution as LTPS, oxide TFT LCD panels still display high-resolution images better than the a-Si solution, and they are suitable to produce large panels at lower production cost than LTPS.

LG Display and Sharp have expanded their oxide mobile PC panel shipments aggressively by 180 percent and 370 percent, respectively. CEC Panda in China is estimated to increase its shipments from about 600,000 units in 2016 to 4.2 million in 2017. As some oxide panel suppliers are reducing their focus on the mobile PC display business, display makers in China and Taiwan, such as BOE and Innolux, are expected to produce more oxide panels in future, IHS Markit said.

 

A mineral discovered in Russia in the 1830s known as a perovskite holds a key to the next step in ultra-high-speed communications and computing.

Researchers from the University of Utah’s departments of electrical and computer engineering and physics and astronomy have discovered that a special kind of perovskite, a combination of an organic and inorganic compound that has the same structure as the original mineral, can be layered on a silicon wafer to create a vital component for the communications system of the future. That system would use the terahertz spectrum, the next generation of communications bandwidth that uses light instead of electricity to shuttle data, allowing cellphone and internet users to transfer information a thousand times faster than today.

The new research, led by University of Utah electrical and computer engineering professor Ajay Nahata and physics and astronomy Distinguished Professor Valy Vardeny, was published Monday, Nov. 6 in the latest edition of Nature Communications.

The terahertz range is a band between infrared light and radio waves and utilizes frequencies that cover the range from 100 gigahertz to 10,000 gigahertz (a typical cellphone operates at just 2.4 gigahertz). Scientists are studying how to use these light frequencies to transmit data because of its tremendous potential for boosting the speeds of devices such as internet modems or cell phones.

Nahata and Vardeny uncovered an important piece of that puzzle: By depositing a special form of multilayer perovskite onto a silicon wafer, they can modulate terahertz waves passing through it using a simple halogen lamp. Modulating the amplitude of terahertz radiation is important because it is how data in such a communications system would be transmitted.

Previous attempts to do this have usually required the use of an expensive, high-power laser. What makes this demonstration different is that it is not only the lamp power that allows for this modulation but also the specific color of the light. Consequently, they can put different perovskites on the same silicon substrate, where each region could be controlled by different colors from the lamp. This is not easily possible when using conventional semiconductors like silicon.

“Think of it as the difference between something that is binary versus something that has 10 steps,” Nahata explains about what this new structure can do. “Silicon responds only to the power in the optical beam but not to the color. It gives you more capabilities to actually do something, say for information processing or whatever the case may be.”

Not only does this open the door to turning terahertz technologies into a reality — resulting in next-generation communications systems and computing that is a thousand times faster — but the process of layering perovskites on silicon is simple and inexpensive by using a method called “spin casting,” in which the material is deposited on the silicon wafer by spinning the wafer and allowing centrifugal force to spread the perovskite evenly.

Vardeny says what’s unique about the type of perovskite they are using is that it is both an inorganic material like rock but also organic like a plastic, making it easy to deposit on silicon while also having the optical properties necessary to make this process possible.

“It’s a mismatch,” he said. “What we call a ‘hybrid.'”

Nahata says it’s probably at least another 10 years before terahertz technology for communications and computing is used in commercial products, but this new research is a significant milestone to getting there.

“This basic capability is an important step towards getting a full-fledged communications system,” Nahata says. “If you want to go from what you’re doing today using a modem and standard wireless communications, and then go to a thousand times faster, you’re going to have to change the technology dramatically.”

Broadcom Limited (NASDAQ: AVGO) (“Broadcom”), a semiconductor device supplier to the wired, wireless, enterprise storage, and industrial end markets, today announced a proposal to acquire all of the outstanding shares of Qualcomm Incorporated (NASDAQ: QCOM) (“Qualcomm”) for per share consideration of $70.00 in cash and stock.

Under Broadcom’s proposal, the $70.00 per share to be received by Qualcomm stockholders would consist of $60.00 in cash and $10.00 per share in Broadcom shares. Broadcom’s proposal represents a 28% premium over the closing price of Qualcomm common stock on November 2, 2017, the last unaffected trading day prior to media speculation regarding a potential transaction, and a premium of 33% to Qualcomm’s unaffected 30-day volume-weighted average price. The Broadcom proposal stands whether Qualcomm’s pending acquisition of NXP Semiconductors N.V. (“NXP”) is consummated on the currently disclosed terms of $110 per NXP share or the transaction is terminated. The proposed transaction is valued at approximately $130 billion on a pro forma basis, including $25 billion of net debt, giving effect to Qualcomm’s pending acquisition of NXP on its currently disclosed terms.

“Broadcom’s proposal is compelling for stockholders and stakeholders in both companies. Our proposal provides Qualcomm stockholders with a substantial and immediate premium in cash for their shares, as well as the opportunity to participate in the upside potential of the combined company,” said Hock Tan, President and Chief Executive Officer of Broadcom. “This complementary transaction will position the combined company as a global communications leader with an impressive portfolio of technologies and products. We would not make this offer if we were not confident that our common global customers would embrace the proposed combination. With greater scale and broader product diversification, the combined company will be positioned to deliver more advanced semiconductor solutions for our global customers and drive enhanced stockholder value.”

Tan continued, “We have great respect for the company founded 32 years ago by Irwin Jacobs, Andrew Viterbi and their colleagues, and the revolutionary technologies they developed. Following the combination, Qualcomm will be best positioned to build on its legacy of innovation and invention. Given the common strengths of our businesses and our shared heritage of, and continued focus on, technology innovation, we are confident we can quickly realize the benefits of this compelling transaction for all stakeholders. Importantly, we believe that Qualcommand Broadcom employees will benefit from substantial opportunities for growth and development as part of a larger company.”

Thomas Krause, Broadcom Chief Financial Officer, added, “The Broadcom business continues to perform very well. Broadcom has completed five major acquisitions since 2013, and has a proven track record of rapidly deleveraging and successfully integrating companies to create value for our stockholders, employees and customers. Given the complementary nature of our products, we are confident that any regulatory requirements necessary to complete a combination with Qualcomm will be met in a timely manner. We look forward to engaging immediately in discussions with Qualcomm so that we can sign a definitive agreement and complete this transaction expeditiously.”

 

“The combined Qualcomm/Broadcom operation would represent the third largest global semiconductor supplier. The Qualcomm shareholders are likely to be split with many viewing this opportunity as a solution to the worsening relations with Apple, whom Broadcom has a good relationship with. The potential merger raises significant questions surrounding the difficult takeover of NXP by Qualcomm and much is still to be discerned regarding the value of the Qualcomm patent holdings and its associated lucrative high-margin revenue stream,” said Stuart Carlaw, Chief Research Officer at ABI Research.