eBeam Initiative surveys report 27% growth in photomasks delivered, continued confidence in EUV

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its seventh annual eBeam Initiative perceptions survey. Industry luminaries representing nearly 40 companies from across the semiconductor ecosystem — including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research — participated in this year’s survey. The eBeam Initiative also completed its fourth annual mask makers’ survey with feedback from 10 captive and merchant photomask manufacturers. In addition, Tokyo Electron Ltd. (TEL), a leading global company that provides semiconductor and flat panel display (FPD) production equipment, has joined the eBeam Initiative.

Results from the mask makers’ survey indicate that mask output grew 27 percent compared to last year, while overall mask yields remained steady. At the same time, however, survey results indicate that no progress has been made in reducing mask turnaround time for leading-edge nodes, with several indicators such as mask data prep times and average mask write times on the rise compared to last year. Among the results of the perceptions survey, respondents were optimistic about the state of the photomask market, which grew 4.1 percent in 2017 according to SEMI. Survey respondents predicted the market will increase at a compound annual growth rate (CAGR) of 4.1 percent or more between 2018 and 2020. Confidence and optimism in EUV lithography continue to remain high, while the perceived need for multi-beam mask writing (MBMW) continues to grow. Perceptions on the use of inverse lithography technology (ILT) at the leading edge also increased.

Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative, will present the results of the mask makers’ survey in an invited talk this morning at the SPIE Photomask Technology Symposium in Monterey, Calif. In addition, the complete results of both surveys will be discussed by an expert panel tomorrow during the eBeam Initiative’s annual members meeting held in conjunction with the SPIE Photomask Technology Symposium, and will be available for download following the meeting at www.ebeam.org.

Highlights from Mask Makers Survey (data from July 2017 to June 2018)

  • The same 10 participants reported delivering 27 percent more masks in 2018 versus 2017, while overall mask yields remained steady at nearly 94 percent
  • The number of delivered EUV masks also more than doubled compared to last year, with EUV mask yields improving to 72 percent
  • However, no progress appears to have been made in mask turnaround time for leading-edge nodes as indicated by several survey results
  • Mask data prep time remains significantly higher at advanced nodes for another year, at 19 hours this year for 11 to 15-nm ground rules
  • Mask process correction (MPC) is also being introduced at sub-16-nm ground rules, confirmed for the second year in a row
  • The average mask write time for eBeam VSB writers also increased by more than 20 percent compared to last year’s survey, from 6.8 hours to nearly 8.3 hours
  • The highest sensitivity (slowest) resists reported to be used for production masks also increased for both 193i and EUV, contributing to longer mask write times
  • Mask data volumes per layer increased on average compared to last year for both eBeam variable shaped beam (VSB) writers and laser writers, with the highest reported data volume per layer increasing from 2.2 to 3.2 Terabytes for eBeam VSB, and from 30 to 240 Gigabytes (an 8x increase) for laser writers

Highlights from eBeam Initiative Perceptions Survey:

  • 95 percent of respondents predict that the overall mask market will achieve 4.1 percent compound annual growth rate (CAGR) or greater between 2018 and 2020
  • 82 percent of respondents predict that EUV lithography will be used in high-volume manufacturing by 2021, while only 1 percent of respondents predict it will never happen
  • Expectations continue to grow around actinic mask inspection for EUV, with only 5 percent of participants in this year’s survey indicating it will never happen — a drop from 21 percent two years ago
  • Perceptions on the need for MBMW remain strong, with 83 percent of respondents indicating that EUV adoption requires MBMW, and 82 percent of respondents indicating that MBMW will be used in high-volume manufacturing by the end of 2020
  • 60 percent of respondents also indicate that ILT is currently being used for a few critical layers at leading-edge nodes, up from 46 percent in last year’s survey

“First and foremost, I would like to welcome our newest member TEL to the eBeam Initiative,” stated Fujimura. “As a leading process solutions provider to the semiconductor industry, TEL has been at the forefront in tackling many of the industry’s most critical mask and lithography challenges. We look forward to adding their voice to our community, and having their support in our ongoing efforts to educate and promote the importance of eBeam technology.”

Added Fujimura, “Over the years, the annual eBeam Initiative surveys have provided valuable insight into not only the perceptions and conditions of the mask industry today, but also the most pressing challenges facing the mask industry ahead. In this year’s surveys, the substantial increase in the total number of masks delivered, the beginning ramp-up of EUV masks, and continued increases in all factors that influence mask turnaround times for the leading-edge nodes stood out to me as important trends. The overwhelming confidence expressed in the perceptions survey for multi-beam mask writing is a positive sign for the industry, as multi-beam helps with the mask turnaround time issue, particularly for writing with slower resists and with complex mask shapes.”

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.