3D Integration

3D INTEGRATION ARTICLES



Industry overshooting capital spending needs for NAND flash memory

07/11/2018  Overspending by the major NAND suppliers expected to further cool NAND flash prices this year.

FET fabrication from fins to nano-sheets

07/11/2018  As the commercial IC fabrication industry continues to shrink field-effect transistor (FET) sizes, 2D planar structures evolved into 3D fins which are now evolving into 3D stacks of 2D nano-sheets.

The outlook for new metrology approaches

07/10/2018  To keep up with Moore's Law, the semiconductor industry continues to push the envelope in developing new device architectures containing novel materials.

High gas flow rates create pumping challenge

07/10/2018  Increasingly complicated 3D structures such finFETs and 3D NAND require very high aspect ratio etches. This, in turn, calls for higher gas flow rates to improve selectivity and profile control. Higher gas flow rates also mean higher etch rates, which help throughput, and  higher rates of removal for etch byproducts.

Optimized stepping for fan-out wafer and panel packaging

07/09/2018  Optimized stepping, based on parallel analysis of die placement errors and prediction of overlay errors, can increase lithography throughput by more than an order of magnitude and deliver commensurate reductions in cost of ownership. The productivity benefits of optimized stepping are demonstrated using a test reticle with known die placement errors.

Big changes at the top and bottom of Q1 semiconductor equipment market shares

07/09/2018  Market shares of semiconductor equipment manufacturers shifted significantly in Q1 2018 as Applied Materials, the top supplier dropped, according to the report “Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts,” recently published by The Information Network.

Imec demonstrates hybrid finFET-silicon photonics technology for ultra-low power optical I/O

07/09/2018  Today at its Imec Technology Forum USA in San Francisco, imec, the research and innovation hub in nano-electronics and digital technology, announced that it has demonstrated ultra-low power, high-bandwidth optical transceivers through hybrid integration of Silicon Photonics and FinFET CMOS technologies.

ROHM's new CMOS op-amp delivers leading-class low noise

07/05/2018  Ideal for industrial applications that demand high-accuracy sensing, including sonar and optical sensors.

TowerJazz announces 2018 annual Technical Global Symposium events

06/26/2018  TowerJazz, the global specialty foundry, today announced details on its 13th annual Technical Global Symposium (TGS) being held in China, Japan, and the United States.

Global organic CMOS image sensor market expected to reach $1,750.0M by 2025

06/22/2018  The global organic CMOS image sensor market is expected to value at $696.0 million in 2020, and is projected to reach $1,750.0 million by 2025, registering a CAGR of 20.9% from 2021 to 2025.

The memory market will grow 40% to US$177 billion in 2018

06/21/2018  The semiconductor industry posted record results in 2017, with revenue exceeding US$400 billion.

imec presents complementary FET as scaling contender for nodes beyond N3

06/20/2018  At this week’s 2018 Symposia on VLSI Technology and Circuits, imec, the research and innovation hub in nanoelectronics and digital technology, will present a process flow for a complementary FET (CFET) device for nodes beyond N3.

Imec furthers high-mobility nanowire FETs for nodes beyond 5nm

06/19/2018  At this week’s 2018 Symposia on VLSI Technology and Circuits, imec, the research and innovation hub in nanoelectronics and digital technology presented considerable progress in enabling germanium nanowire pFET devices as a practical solution to extend scaling beyond the 5nm node.

Micross announces new executive appointment

06/15/2018  Marshall (Mac) Blythe has joined Micross in the role of General Manager of Component Modification Services (CMS) located in Hatfield, PA.

pSemi GaN FET driver delivers fast switching to solid-state LiDAR systems

06/14/2018  pSemi Corporation (formerly known as Peregrine Semiconductor), a Murata company focused on semiconductor integration, announces the availability of the PE29101 gallium nitride (GaN) field-effect transistor (FET) driver for solid-state light detection and ranging (LiDAR) systems.

Winbond extends performance of Serial NAND Flash memory with 1Gbit device with maximum data-transfer rate of 83MB/s

06/08/2018  Winbond’s new high-performance Serial NAND technology also supports a two-chip dual quad interface which gives a maximum data transfer rate of 166MB/s.

NXP brings standard packages to RF power

06/05/2018  New RF power transistors simplify design and manufacturing.

IEEE Electronics Packaging Society honors heterogeneous integration pioneer and other innovators

06/05/2018  IEEE, the world's largest technical professional organization dedicated to advancing technology for humanity, and the IEEE Electronics Packaging Society (EPS) today announced Dr. William Chen as the recipient of the 2018 IEEE Electronics Packaging Award.

Toshiba Memory Corporation and Synopsys collaborate to accelerate 3D flash memory verification

06/01/2018  Synopsys, Inc. today announced that it has collaborated with Toshiba Memory Corporation to accelerate the verification of Toshiba Memory Corporation's BiCS FLASH vertically stacked three-dimensional (3D) flash memory.

STMicroelectronics announces Executive Committee

05/31/2018  New President & CEO Jean-Marc Chery to lead newly formed Executive Committee.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts