More SEMICON West exhibit previews

July 8, 2012 — Don’t fill up your exhibit hall meetings list just yet. Following are some of the new and flagship products that will appear this week at SEMICON West, July 10-12 in the Moscone Center of San Francisco, CA.

More SEMICON West product previews:

Metrology, inspection, and process control products

Lithography products

Semiconductor packaging products

Wafer processing and handling products

Gas distribution equipment and other products

Air Liquide will highlight several product lines at SEMICON West and Intersolar North America, including ALOHA, dedicated to the development, manufacturing, and marketing of advanced precursors for ALD and CVD applications. Other features at the booth will be the company’s SaFlow specialty gas distribution equipment for solar and nanotechnology applications; BBr3 (Boron Tribromide) alternative boron dopant source for solar; Total Gas and Chemical Management services for fabs; Balazs NanoAnalysis clean manufacturing solutions and analytical services for advancing high-technology products through materials characterization and contamination reduction; and the next-generation Flexible Factory Control System (FFCS). Air Liquide, South Hall, Booth 1007.

 

Electrostatic discharge meter

TREK INC. is debuting the Model 511 Hand-Held Field Meter, an economical and reliable way to monitor electrostatic fields for the semiconductor, photovoltaic/solar and electronics manufacturing industries. Model 511 is a portable, chopper-stabilized, non-contacting electrostatic field meter designed for testing and auditing of electrostatic fields. An optional charge plate accessory enables Model 511 to be used for the balancing and testing of ionization blowers and devices. The unit can also be used for surface voltage measurements of photoconductors or dielectric surfaces and for measurement of electrostatic potentials on film, polymers, and paper. The unit has a switch-selectable measurement range of either ±2 kV per inch or ±20 kV per inch. Measurement accuracy is better than 5% of reading. It operates in ionized or non-ionized environments. Additional features include an easy-to-read LED display, a hold-switch that preserves a reading on the display until released, and a push-button ‘auto-zero’ feature that removes any offset voltage. TREK INC., South Hall, Booth 1123.

 

Filtration and purification products for leading-edge fabs

Pall Corporation (NYSE: PLL) will unveil advanced filtration and purification products targeting 22nm and smaller-node semiconductor fabs. The products are used in chemical, gas, photolithography, ultrapure water and CMP applications. The 10nm Ultipleat SP DR G2 filter uses a proprietary, highly asymmetrical membrane to remove particles down to 10nm in size. The larger-diameter G2 filter provides 45% more liquid flow at the same differential pressure as the current standard filter. It can be implemented for critical, ambient, wet chemical surface preparation processes. The all PFA disposable UltiKleen G3 HiT KC assembly will also debut, featuring a Pall Excellar ER filter cartridge with a uniquely modified, 15nm-rated PTFE membrane that remains wet in critical aqueous chemicals. The UltiKleen G3 HiT KC Assembly’s design improves fluid dynamics and enables efficient removal under new, higher-temperature SPM processes. The new filter has been shown to reduce sub 20-nm particles by more than 25% in 120°C sulfuric acid filtration compared to previous designs. Pall will showcase the new Profile Nano filter developed to classify both ceria and low solids colloidal silica slurries typically used in advanced CMP processes, such as shallow trench isolation (STI) and barrier copper. The Profile Nano filter contains extremely fine fibers that improve particle removal efficiency to better than 99% at 200nm. Pall will introduce the IonKleen CAF purifier/ filter for critical point-of-use applications in ultrapure water. It can reduce trace metal ions to < 1 part per trillion (ppt) at challenge levels up to 10 ppb and water flow rates up to 20 liters per minute. In addition to the active polyethylene membrane, the large area, G2 style cartridge contains a highly efficient filter for removing 10nm and greater particles. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Filtration for advanced patterning processes

Pall will introduce two products for advanced patterning applications: the 2nm PE-Kleen filter with an extremely tight membrane to prevent defects in 14nm semiconductor patterning, and the Nylon Extension filter designed to increase photoresist contact time within the nylon 6,6 membrane. The 2nm PE-Kleen filter is constructed of ultra-high-purity, high-density polyethylene. The Nylon Extension filter increases contact time to enhance nylon 6,6 adsorption. The removal of insoluble polymer components by adsorption helps reduce bridge type defects. The new 2nm PE-Kleen and Nylon Extension filters are available in several different cartridge and capsule configurations, including the quick-disconnect PhotoKleen EZD-3X assembly. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Gas purification and filtration products

Pall will also uncrate products for use in ultra-high-purity gas applications. The Gaskleen II EL purifier is a compact purifier assembly suitable for intermittent process flow rates up to 50 standard liters per minutes (slpm). The assembly can be filled with any of Pall’s AresKleen purification materials to remove molecular contaminants such as moisture, oxygen, carbon dioxide and hydrocarbons to levels below 1 part per billion (ppb) from process gases. The purifier also contains an integral filter that removes particles ≥3nm with a >9 log efficiency. ChamberKleen diffusers will launch with NW40 and NW50 flanges for venting of load lock or other vacuum chambers where large volumes of gas need to flow in a short time. The assembly contains a high-flow filter pack capable of removing particles ≥3nm in size. It operates at differential pressures of up to 0.72 MPa (105 psid) to enable higher inlet pressures and faster chamber filling times. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Wafer handling

CHAD Industries will demonstrate automated wafer handling of electrostatic wafers carriers with automated SMIF pod delivery, exhibiting the WaferMate300-2 wafer handling workcell running WaferWare software. The workcell will be configured with both a 300mm FOUP loadport and 200mm SMIF-EZ loadport. It can automate handling of standard and nonstandard wafers. The SMIF-EZ loadport will be populated with 200mm electrostatic carrier wafers from CHAD’s Technology partner Beam Services. The carriers will have a smaller-diameter wafer mounted to them to demonstrate standard wafer handling of a challenging size. CHAD will also be demonstrating Adept Technology’s autonomous indoor vehicle (AIV) for automated SMIF pod delivery. The transporter is a safe autonomous indoor vehicle for delivering 200mm, 150mm, and reticle SMIF Pods within semiconductor fabs with no additional infrastructure required. It reduces vibration and handling in wafer delivery. CHAD Industries, North Hall, Booth 6274.

 

Jetting system and new cartridge

Nordson ASYMTEK will demonstrate its new NexJet jetting system with the one-piece Genius Jet Cartridge. As simple as an ink jet printer cartridge, Genius is easily removed and replaced in seconds without tools. The Genius Jet Cartridge dispenses up to 50 million cycles before a replacement is necessary, with memory to store and communicate usage data. This intelligence enables quality dispensing and high yields. The advanced design of the NexJet system includes new software that widens the process window to ensure stable and repeatable results for high-yield production and broadens the range of jettable fluids and applications. The NexJet System includes new precision software control of the jetting process, enabling successful results with both low- and high-viscosity fluids, and accommodates a broad range of fluids for applications such as flip chip underfill, chip scale package, ball grid array, package-on-package underfill, precise coating, and adhesive dispensing. Each NexJet System ships with two Genius Jet Cartridges and a specially designed Genius Jet Cartridge Cleaning Tool. The NexJet system is available as an upgrade for Nordson ASYMTEK’s Spectrum, Axiom, and DispenseMate Series platforms, and can accommodate six reservoir sizes: 3cc, 5cc, 10cc, 30cc, 2.5 oz, and 6 oz. The Genius Jet Cartridge comes in 4 sizes. Nordson ASYMTEK, North Hall, Booth 6071.

 

Printing tool & epoxy

Optomec will demonstrate several devices with 3D printed electronics fabricated with its Aerosol Jet tool: an automotive tank with fill level sensor and control circuitry (courtesy of Neotech Services MTP); a multi-chip package (MCP) with fine line conformal interconnects; and aircraft components with printed antennae, sensors, and power distribution circuitry. Optomec will also showcase a new conductive epoxy developed by Resin Design specifically for use with the Aerosol Jet printing process and its fine-feature capabilities. Together, this novel material and the Aerosol Jet system can be used to produce high-conductivity adhesive features for surface mount and high-stress interconnect applications. Aerosol Jet technology can print a wide variety of electronic materials directly onto a wide variety on non-planar surfaces. The tool produces a high-velocity material mist stream, printing interconnects for vertically stacked dies, without adjustment to Z-height positioning. The system can print fine features to <10µm and wide features >1cm. Multiple print heads can be independently controlled by the Aerosol Jet system and each print head can have multiple nozzles providing a high degree of system scalability to meet application throughput requirements. Optomec, North Hall, Booth 6665.

 

Active piezoelectric vibration cancellation system

TMC’s STACIS 2100 active piezoelectric vibration cancellation system is the industry standard solution for the most sensitive instruments in noisy environments. Primarily designed to isolate precision microlithography, metrology, and inspection equipment in advanced semiconductor factories, STACIS is hundreds of times stiffer than air isolators and suffers from none of their limitations. There is no soft suspension and, unlike active air systems, STACIS can be “stacked” (placed beneath a tool with an internal active air isolation system). STACIS employs advanced inertial vibration sensors and piezoelectric actuators to cancel vibration by sensing floor vibration, then expanding and contracting the actuators to filter out floor motion. With STACIS, precision tools can be located in noisy environments and still meet the tool manufacturer’s floor vibration criteria. TMC, a unit of AMETEK Ultra Precision Technologies, South Hall, Booth 2122.

Check out Solid State Technology’s coverage of SEMICON West 2012!

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.