SEMICON West Exhibitor’s Products

(July 15, 2009) &#151 Following are some of the booth highlights from companies exhibiting at SEMICON West, July 14–16, 2009 in San Francisco, CA. Booth demonstrations include cleaning products for flip chips, bonded wafer inspection systems, airborne particle sensor/monitors, die-attach systems, and more for semiconductor manufacturing, packaging, and test.

CyberOptics Semiconductor, North Hall, booth #5761
The WaferSense airborne particle sensor (APS) wirelessly monitors airborne particles in process equipment to validate and analyze wafer contamination in real-time to reduce wafer scrap and improve die yield. The wafer-like, automated, and vacuum-compatible APS identifies particles and their exact location in a process as mechanical and gas events are cycled, including in and around tools, transfer areas, front-ends, track tools and chambers. Initial testing has shown sensitivity to detect 0.1&#181m particles. The system will be available in 2H09 in 300mm and 200mm form factors, with 450mm versions to be available by special order.

Micro Precision Automation (MPA), at the Applied Mechatronics booth, North Hall, booth #6082
The polar coordinate 450mm metrology stage consists of a linear, rotary and Z axis, boasting a compact footprint for inspecting 450mm wafers. The bridge configuration provides a stable platform for mounting a variety of metrology systems. Additional features include an integrated prealigner, independent load/unload stations, a low-contact vacuum chuck (1.7% of a 450mm wafer), and an option for a lift pin mechanism for measuring wafer bow.


Tiger Optics, South Hall, booth #1736
The Prismatic can measure up to 16 different species simultaneously. Users select the species of interest from Tiger’s detections list. Brewster’s angle prism retro-reflectors provide total internal reflectance broad enough to measure multiple species down to parts-per-billion with a single Ring-Down cell. The Prismatic development was initially funded by the National Science Foundation. For Continuous Wave Cavity Ring-Down Spectroscopy (CW-CRDS), the Prismatic offers accuracy, speed of response, very low maintenance, freedom from calibration, and wide dynamic range, with no ambient effects. The debut Prismatic system measures down to ppb levels of moisture, methane, carbon monoxide, and carbon dioxide gases. The system’s built-in reference cells and automated tune function prevent interference and drift, without external calibration, consumables or routine maintenance. A non-contact measuring technique, CW CRDS is suitable for bulk gases, clean dry air (CDA), corrosives, fluorocarbons, and other specialty gases, such as nitric oxide, nitrogen fluoride, and sulfur hexafluoride.


Technical Manufacturing Corporation (TMC), North Hall, booth #5765
STACIS FM is a hard-mount active vibration cancellation system, part of TMC’s family of STACIS advanced piezoelectric vibration isolation products designed to control building floor vibrations in semiconductor manufacturing facilities. The frame-mountable Stacis FM incorporates proprietary Stacis technology for efficient vibration isolation using piezoelectric actuators and a stiff suspension. It is designed to be integrated into advanced, high-throughput lithography and metrology tools. The Stacis technology is capable of fast settling of the isolated payload in response to motorized, stage-induced motion, resulting in increased tool throughput. Stacis FM features extended stroke piezoelectric actuators, fast settling time in response to stage motion, and a hard-mount suspension with no soft air springs. It is available in either 3&#176 or 6&#176 of freedom and starts to isolate well below 1 Hz.


Aries Electronics, South Hall, booth #435
The CSP/BallNest Hybrid Socket suits prototyping, test, or burn-in of chip scale package (CSP), ball grid array (BGA), microBGA, and land grid array (LGA) devices. The socket, which features a lid that nests each ball termination into the socket for a reliable connection, can be used on any device with a 0.30mm pitch or larger. The ZIF-style (zero insertion force) socket uses Aries solderless, gold-plated pressure mount spring probes, allowing for easy mounting and removal from the PCB. The design maintains constant force throughout the entire test and burn-in cycle as well as on the surface mount PCB when no chip is engaged. The socket bolts down onto the target PCB in the same footprint as the socketed device. This process also enables the socket to be mounted to an adapter board terminated with male thru-pins effectively creating a thru-hole solder tail socket for BGA devices. The socket cover can also incorporate heat sinks. A four-point crown ensures “scrub” on solder oxides. The gold-over-nickel plated compression spring probes leave very small witness marks on the bottom surface of the device solder balls. Its signal path is 1.96 mm. The socket’s contact forces are 15g per contact on a 0.30-0.35mm pitch, 16g per contact on a 0.40-0.45mm pitch, 25g per contact on a 0.50-0.75mm pitch, and 25g per contact on a 0.80mm pitch or larger. Operating temperature is -55&#176 to +150&#176C and estimated contact life is 500,000 cycles. The test socket is available in custom materials, platings, sizes and configurations.

Dage Precision Industries, a Nordson company, South Hall, booth #807
The enhanced 4000HS High-Speed Bondtester offers high-strain-rate bond testing for detecting brittle fracture failures in lead-free materials. Dage’s new 4000HS software and hardware toolset offers enhanced capability for bond testing analysis and process control. This toolset includes high-speed trigger capture software and rising table work holder for cold bump pull bondtesting. These toolset features further advance the application of high-speed bondtesting. The test instrument provides energy measurements during bond testing and ensures full compliance with JEDEC standards, analyzing microelectronic solder joint integrity and reliability.

Dage will also showcase its Quick View CT inspection system that allows users to obtain initial computerized tomography (CT) reconstructions within 5 minutes, compared to previous times of over 20 minutes. This quick view CT software makes CT a much more viable process tool for production and failure analysis applications. The Quick View CT software is available for Dage’s X-ray inspection systems that combine digital acquisition technology and ImageWizard software. The Dage NT X-ray tube provides sub-micron feature recognition with the added benefit of a sealed tube for minimal maintenance.

Strasbaugh, South Hall, booth #2007
The STB P300 CMP system is designed to incorporate next generation factory (NGF) principles. It targets production and process flexibility with advanced CMP technologies. Features include lower cost of ownership and integrated wafer cleaning. The system supports high mix, small lot applications. P300 is available in several configurations for semiconductor, data storage, SOI, silicon, and MEMS manufacturing. Several CMP technologies will be introduced with the P300, including the nVision II optical and motor current endpoint detection system, the new-generation Saturn wafer carrier, the Precision pad conditioner, and integrated cleaning.


JEOL, South Hall, booth #606
The ultrahigh-resolution analytical Thermal Field Emission Scanning Electron Microscope (SEM) JSM-7600F will be demonstrated via live remote viewing and control at the SEMICON West booth. Resolution is up to 1,000,000&#215 magnification. The SEM also features X-ray analytical mapping of individual layers, elemental composition, contaminants, particulates, and process defects in semiconductor devices. It has a large chamber with large specimen exchange airlock and LN2 anti-contaminator, the JSM-7600F accommodates specimens up to 200mm in diameter, and features a highly stable 5-axis motor drive eucentric stage that can be tilted from -5&#176 to +70&#176. The microscope’s electron column produces a high beam current and superior imaging of nonconductive samples that traditionally charge. The JSM-7600F minimizes beam damage on heat-sensitive samples such as low-k dielectrics. It offers improved stability for unattended data acquisition, particle analysis, EBSD, and X-ray mapping. The JEOL JSM-7600F is outfitted for comprehensive analysis techniques and can simultaneously view and acquire images and data from 4 types of imaging detectors. The SEM also can be used as a direct write e-beam lithography tool when outfitted with a high-speed beam blanker and a pattern generator.

Confluense LLC, South Hall, booth #2209
The Pad Surface Manager pad conditioning system claims to improve chemical mechanical planarization (CMP) consumable utilization efficiency by >300%, and reduce particle adders and defects by 30–80%. The pad is cleaned in situ immediately after it contacts the wafer, removing spent slurry, pad debris, and by-products and preventing them from being recycled back under the wafer and ensuring fresh slurry at the wafer surface at reduced feed rates. Bi-directional control of the conditioner downforce maintains high removal rate with minimum cut rate, reducing pad debris and extending both pad and conditioner life. Effluent streams are separated by slurry concentration to reduce waste treatment load and DI water recycling costs.


Asahi/America Inc., South Hall, booth #1431
Dymatrix Multiport Valve (MPV) Manifolds are now manufactured in the company’s Malden, MA facility to reduce lead times to 2-4 weeks. The PTFE valves are machined on dedicated CNC equipment and assembled in a clean room. The Dymatrix MPV Manifold offers a variety of capabilities in a compact valve. The valve design features a zero dead leg diaphragm with full flush capabilities. The special diaphragm eliminates dead space and particle generation, suiting semiconductor and ultra-pure water industries, as well as harsh chemical applications. The high cycle life of the valve ensures reliable performance in critical applications, and its compact design fits tight equipment.

DEK, South Hall, booth #811
The company will demonstrate a full line solution that incorporates a Galaxy Thin Wafer System and a next-generation CHAD WaferMate wafer handler. The line resolves traditional challenges associated with high-speed handling and processing of thinned wafers on a proven print platform. With the ability to process as many as 60 wafers per hour (wph), the DEK and CHAD technology pairing offers semiconductor specialists a high-volume, high-accuracy thin wafer platform that enables precise printing processes for wafers as thin as 75&#181m. CHAD’s WaferMate system incorporates advanced engineering principles, delivering thinned and warped wafer handling capability, movement of wafers or paper from a coinstack configuration and the ability to limit wafer contact during transfer to the print pallet so as to avoid any damage to delicate, thinned wafers. Once placed on the DEK thin wafer pallet, which can accommodate wafers as large as 300mm and is flat to less than 10&#181m, the Galaxy transport system precisely and quickly transfers the wafer-loaded pallet into position. Advanced vision capabilities align the wafer and the specified imaging process commences. Packaging techniques including ball placement, DirEKt Coat wafer backside coating, protective coating imaging, thermal interface materials deposition, wafer bumping, and encapsulation processes can all be accomplished with exceptional accuracy and precision at high UPH. For DirEKt Coat applications, which will be demonstrated at Semicon West, the new Galaxy Thin Wafer System delivers a process capability of Cpk>2 @ ±12.5&#181m with a Total Thickness Variation (TTV) of <7&#181m on 200mm, 150&#181m-thin wafers for coatings as thin as 25&#181m. During the live demonstrations, wafer transfer and loading of 120&#181m wafers will be carried out by CHAD's WaferMate handling system.


Sonoscan’s AW300 inspects bonded wafers.
Sonoscan, South Hall, booth #407
The C-SAM series AW300 for bonded wafer inspection carries out robotic handling and acoustic imaging of bonded wafer pairs up to 300mm in diameter. Twin transducers scan two wafer pairs simultaneously, while the two previously scanned wafers are dried and returned to their carriers. An industry standard equipment front end module (EFEM) is SECS/GEM ready, accommodates single or multiple load ports, and utilizes either vacuum or edge grip end effectors. The scanner system utilizes linear motors and is inertially balanced for vibration-free operation.

EV Group, North Hall, booth #5547
The EVG770 UV-based nanoimprint (NIL) step-and-repeat system addresses large-area master fabrication for optical applications and replication of high-resolution features. It supports hard and soft UV-NIL as well as micro-contact printing applications for wafer sizes ranging from 100mm to 300mm. Features include a dual-stage alignment approach, a high-precision wafer stage for sub-50nm placement accuracy, the ability to imprint in a vacuum, and support for semi- and fully-automated wafer and template transfer. Other applications include the manufacture of waveguides, ring resonators and R&D nanoelectronics applications (i.e., dual damascene and contact holes).

Finetech, South Hall, booth #712
The Fineplacer Lambda, with ±0.5&#181m placement accuracy, will be shown in a manual configuration capable of sophisticated die-attach tasks such as bonding of flip chips, MEMS, MOEMS, and sensors on substrate sizes up to 180 &#215 136mm. A FA7 heating plate offers a 50 &#215 50mm heating area, high ramp rate, excellent thermal conductivity, very low thermal expansion, and optional heated inert gas integration. Applications include eutectic soldering, Au/Sn soldering, thermo compression, thermo-/ultrasonic bonding, adhesive technologies, and MEMS/MOEMS placement.


ECT’s Z pin test probe.
Everett Charles Technologies, a subsidiary of Dover Corporation, South Hall, #331
The Z pin, or “Zip,” features a patented 2D design with planar contact surfaces fabricated by a proprietary test pin manufacturing process. The Zip Family of compliant contacts deploys planar contact surfaces on scalable test pitch products. The Z pin is available in radial, flat, and hybrid configurations. The Radial Series uses traditional machined 3D components; the Flat Series uses innovative 2D components; and the Hybrid combines both technologies, a flat contact for the interface board married with a radial contact for the DUT. Multiple plating options are available with test pitches of 0.4, 0.5, and 0.8mm. The 1.00 and 0.3mm designs are scheduled for a Q3 release. Other probes displayed in the booth will include the Bantam for demanding test applications; the Mini-Mite for higher current and consistent DC resistance requirements; and the CSP series that addresses double-ended Pogo technology applications with drop-in compatibility for most competitor test probes.

Kyzen, South Hall, booth #910
The Aquanox A4520 aqueous cleaner for flip chips and advanced packaging is effective on all lead-free, no-clean, and eutectic materials when run at low temperatures and low concentrations &#151 including lead-free flux, tacky flux, reflowed paste, no-clean flux, RMA flux, OA paste, oils, fingerprints, light oxides, and polymerized soils. Features include a long bath life, RoHS compliance, no CFCs or HAPs, and it is biodegradable.

Synopsys, South Hall, booth #2221
The Fammos software tool analyzes stress evolution for the entire interconnect fabrication process, using design database and process to perform 3D backend process simulations. It predicts interconnect stress distributions from multiple stress sources (intrinsic, thermal-mismatch, grain-growth, and externally applied) and accounts for proximity effects, and can detect stress hot spots that are susceptible to debonding, voiding, and cracking, and employs a set of physics-based models to evaluate reliability failures. Fammos generates stress distributions to mitigate reliability issues during through-silicon via (TSV) fabrication and 3D IC stacking, and computes stress-induced mobility changes in transistors in proximity to TSVs.

Technical Manufacturing, North Hall, booth #5765
The Stacis FP active vibration cancellation floor platform system suits use with scanning electron microscopes (SEMs). Features include sub-1Hz cancellation, extended stroke piezoelectric actuators, and damped, powder-coated steel plates sandwiching 4 isolators and a digital controller. An active hard-mount floor platform fits most commercial SEMs, and the tool is compatible with all internal SEM vibration isolation systems. The <400lb system supports more than 2500lbs with no soft air suspension.

Virtual Industries, South Hall, booth #817
Several vacuum handling systems will be on display. The TV1000 vacuum handling tool handles a variety of optics, ball lenses and SMT parts sized 250µm to several inches in diameter, with a long-life diaphragm vacuum pump that generates up to 10&#34 of mercury with an open air flow of 2.3 lpm. The Stealth-Vac Elite vacuum pen operates directly on compressed air or nitrogen, with air conservation features; vacuum level can be controlled by adjusting the input pressure. The Porta-Wand Elite with PEEK wafer tip handles up to 200mm wafers or solar cells. A Push Button Vacuum Wafer Wand accepts any of Virtual’s press fit tips for handling solar cells or semiconductor wafers.

Rudolph Technologies, South Hall, booth #1621
The MetaPulse-G thin-film metrology system targets copper damascene process from 45 to 22nm and copper via fill in 3D ICs. Features include a green wavelength ultrafast laser, optimized for copper applications, that delivers higher signal/noise ratios and measurement repeatability better than 0.3% at throughputs of 60-80 wafers/hour. A 10 &#215 10&#181m spot size can measure films within 30 &#215 30&#181m or smaller test sites, and in active die on product wafers at high-volume throughputs. A time-resolved acoustic signal can be used in active die in the absence of special underlying test pads.

For more new products at SEMICON West, visit www.semi.org.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.