Moving atomic layer etch from lab to fab

KEREN J. KANARIK, SAMANTHA TAN, JOHN HOLLAND, AARON EPPLER, VAHID VAHEDI, JEFF MARKS, and RICHARD A. GOTTSCHO, Lam Research Corporation, Fremont, CA USA.

A new plasma-enhanced atomic layer etch method delivers atomic-level etch precision with process times that are practical for use in a manufacturing environment.

Extending Moore’s Law will rely increasingly on high-precision processes to form minuscule device features with high-quality film surfaces. At the sub-14nm technology node, transistor performance will be highly sensitive to process variations, which can significantly impact current leakage and battery power loss. To give some perspective on the reality of the challenges, within the next 10 years, transistor gate dimensions are expected to be less than 50 atoms wide, and feature size variations will be measured in atoms, including contributions from surface roughness. Atomic layer processes are the most promising path for delivering the precision needed at this scale. Atomic layer deposition (ALD) has been in production for over a decade in the semiconductor manufacturing industry. However, it has been difficult making the etch counterpart — atomic layer etch (ALE) — productive enough for cost-effective manufacturing, and a commercially viable system has not been available. Here, we report on a plasma-enhanced ALE method using a commercial plasma reactor that provides atomic-level precision with process times that are suitable for high-volume device manufacturing.

Promise of atomic-layer processes
Although used in the semiconductor industry for nearly 40 years, continuous deposition and etch processes are inherently imprecise due to how they are executed. Typically, all of the reactants are introduced into the process chamber simultaneously, where they interact concurrently and continuously with the exposed film surfaces. As a result, the film thickness is often strongly dependent upon parameters such as reactant flux, which can vary locally as well as across the wafer. While continuous processes can be optimized to be more precise, for example in terms of uniformity and film smoothness, it is often difficult to compensate for all factors simultaneously.

etch_1
FIGURE 1. Illustration of the process steps in a plasma-enhanced ALE cycle for a silicon film etched by chlorine and argon.
etch_2
FIGURE 2. High-resolution TEM images of blanket epitaxial silicon after removal of ~400 atomic layers by continuous and ALE methods under comparable process conditions.
etch_3
FIGURE 3. AFM data of blanket epitaxial silicon surfaces showing surface roughness before etch, after a continuous etch, and after ALE.

In contrast, atomic layer processes introduce the reactants sequentially through a set of repeated self-limiting cycles. In this case, the amount of film added or removed is controlled by the number of these cycles. The reactions stop when the limited reactants are consumed, and the film surface “resets” to a common state after each cycle. This results in an inherently smooth surface and uniform film.

The challenge in implementing atomic layer processes in high-volume semiconductor manufacturing is that their rates tend to be very slow, up to several orders of magnitude less than continuous processes. In the case of ALD, fab adoption came after nearly half a century of laboratory work and commercial applications in other industries such as flat panel display. Today, ALD is a mainstream technique used for depositing both metals and dielectrics in production. Although rates are still slower than some chemical vapor deposition (CVD) continuous processes, the associated benefits from ALD are attractive, especially for very thin films or when alternative processes fail to meet requirements. With ongoing improvements in productivity, ALD is expected to be used on an increasing number of emerging films.

Making ALE faster
Lagging behind its deposition counterpart, ALE was first demonstrated in the laboratory in the early 1990s and has remained a subject of ongoing important research ever since [1]. Unfortunately, achieving productivity levels sufficient for high-volume manufacturing has been challenging. To give a sense of the process times involved, consider the most studied ALE case of etching a silicon (Si) film. Reported cycle times typically vary from ~1 minute to over 5 minutes with corresponding etch rates of ~0.1 to 0.01 nm/min. These long process times are largely due to using thermal adsorption methods and specialized equipment such as ion beam systems for desorption, which are also undesirable from a cost perspective. An attractive alternative is to use a conventional etch reactor and enhance the ALE rate through specific plasma techniques.

To understand how plasma enhancement improves process cycle times, it is useful to consider the individual steps involved in a single ALE cycle. FIGURE 1 illustrates these steps for the case study of etching silicon with chlorine (CI₂) and argon (Ar). First, chlorine reactants are adsorbed onto the silicon film surface, and then excess reactants are purged. Next, argon ions are introduced to desorb the silicon chloride byproduct via directional ion bombardment, followed by purging the excess gas. This cycle is then repeated until the desired amount of film has been removed.

The adsorption step has historically been done using thermal methods, in which adsorption occurs spontaneously at room temperature and follows Langmuir kinetics. The time needed to completely saturate the surface has been reported to take ~30 seconds. The speed of this step is limited by the time needed for the Cl₂ molecules to dissociate, which must occur before chlorine can react with a dangling silicon bond at the film surface. With the plasma-enhanced ALE method, chlorine gas is ignited into a plasma, which readily dissociates Cl₂ to produce radicals that quickly react with the silicon surface. It is worth pointing out that the plasma needs to operate in a regime that minimizes ions and photons with energies above the etch threshold in order to prevent premature, uncontrolled etching.

For the desorption step, bombarding particles are used to provide enough energy to break the Si–Si bonds that have been weakened by adsorbed chlorine. However, bombarding the surface to remove material is somewhat inefficient, and calculations have indicated that it takes ~10 particles to remove just one silicon chloride molecular byproduct [2]. This step can be accelerated by applying a high flux of bombarding ions, generated by the same plasma reactor used for the adsorption step. By combining these adsorption/desorption improvements with fast gas-switching capabilities, significantly faster cycle times can be achieved.

Application of plasma-enhanced ALE
This ALE technique was evaluated on blanket epitaxial silicon wafers along with a continuous plasma process under comparable conditions. The etch rate of the ALE process is found to be significantly faster than has been typically demonstrated. To verify that the ALE process is not simply the sum of physical sputtering and spontaneous chemical surface reactions, these rates were measured and found to contribute insignificantly to the overall etch rate. It was also confirmed that the plasma-enhanced ALE process is self-limiting, with the reactive layer reaching a self-limiting thickness of a few atomic layers. Note that analogous with ALD, the ALE process benefits occur not because each cycle removes exactly one atomic layer, but because each cycle is self-limiting.

To evaluate the surface conditions after etch, blanket wafers were processed by continuous or ALE methods to remove ~400 atomic silicon layers (~50 nm) and evaluated with high-resolution transmission electron microscopy (TEM) (FIGURE 2). The continuous process produces a rough surface, while the ALE process leaves the surface smooth. Quantitative analysis of surface roughness was determined in terms of root mean squared (RMS) using atomic force microscopy (AFM). Prior to etching, the surface roughness was 2 atomic layers (FIGURE 3). The data show that the continuous process added 15 atomic layers of roughness due to accumulative effects. In contrast, the ALE process contributed only 1 additional atomic layer of roughness, thus preserving the atomic smoothness of the film. This is attributed to the self-limiting nature of ALE, where the film’s surface state resets after each cycle.

etch_4
FIGURE 4. Uniformity across a blanket silicon wafer before and after the ALE process.
etch_5
FIGURE 5. SEM images of polysilicon trenches etched under comparable process conditions.

The ALE process is also found to be precise on the macroscopic scale. The amount of silicon removed by ALE was measured across the full diameter of a 300mm wafer (FIGURE 4). Even though the ALE process was not optimized, the process demonstrates excellent uniformity, indicating relative insensitivity to variations in neutrals and ions across the wafer. This implies relatively high process stability and repeatability, which is an important requirement for high-volume manufacturing.

To characterize performance on patterned features, polysilicon trenches were used to examine etch profiles. As shown by the scanning electron microscope (SEM) images in FIGURE 5, the etch front for the continuous process is micro-trenched, which is a well-known phenomenon that occurs in chlorine/argon plasma chemistries. This effect is attributed to ion scattering from the feature sidewalls, causing the trench side corners to be etched faster than the center [3]. In contrast, the ALE process shows a flat etch front. This is attributed to the self-limiting nature of the process: once the adsorbed reactants are exhausted, the argon sputter rate is too slow to be significant. The overall result is the desired flat etch front with an atomically smooth surface that is uniformly repeated across the wafer.

Conclusion
A plasma-enhanced ALE method has been presented that delivers atomic-level etch precision with process times that are practical for use in a manufacturing environment. Addressing historical productivity barriers while maintaining self-limiting behavior was achieved by enhancing the adsorption and desorption steps with plasma using a commercially available etch reactor. With this new capability, production-ready ALE is becoming a reality, and this is a significant and exciting milestone for extending Moore’s Law.

Acknowledgments
The authors would like to thank Saravanapriyan Sriraman, Joydeep Guha, Jun Belen, and Elizabeth Pavel for their contributions. We gratefully acknowledge the use of facilities within the LeRoy Eyring Center for Solid State Science at Arizona State University.

1. V. Donnelly and A. Kornblit, “Plasma etching: Yesterday, today, and tomorrow,” J. Vac. Sci. Technol. A, Vol. 31(5) (2013).

2. D. Athavale and D. J. Economou, “Molecular dynamics simulation of atomic layer etching of silicon,” J. Vac. Sci. Technol. A, Vol. 13 (1995).

3. S. A. Vitale, H. Chae, and H. H. Sawin, “Silicon etching yields in F₂, Cl₂, Br₂, and HBr high density plasmas,” J. Vac. Sci. Technol. A, Vol. 19 (2001).


KEREN J. KANARIK is a technical marketing director at Lam Research Corp., 4650 Cushing Pkwy, Fremont, CA 94538 USA; email: [email protected]

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.