Gases: Essential materials for display manufacturing

Technology trends in backplane technology are driving higher gas demand in display manufacturing. Specific gas requirements of process blocks are discussed, and various supply modes are reviewed.

BY EDDIE LEE, Linde Electronics, Hsinchu, Taiwan

Since its initial communalization in the 1990s, active matrix thin-film-transistor (TFT) displays have become an essential and indispensable part of modern living. They are much more than just televisions and smartphones; they are the primary communication and information portals for our day-to- day life: watches (wearables), appliances, advertising, signage, automobiles and more.

There are many similarities in the display TFT manufacturing and semiconductor device manufacturing such as the process steps (deposition, etch, cleaning, and doping), the type of gases used in these steps, and the fact that both display and semiconductor manufacturing both heavily use gases.

However, there are technology drivers and manufacturing challenges that differentiate the two. For semiconductor device manufacturing, there are technology limitations in making the device increasingly smaller. For display manufacturing, the challenge is primarily maintaining the uniformity of glass as consumers drive the demand for larger and thinner displays.

While semiconductor wafer size has maxed because of the challenges of making smaller features uniformly across the surface of the wafer, the size of the display mother glass has grown from 0.1m x 0.1m with 1.1mm thickness to 3m x 3m with 0.5mm thickness over the past 20 years due to consumer demands for larger, lighter, and more cost-effective devices.

As the display mother glass area gets bigger and bigger,so does the equipment used in the display manufacturing process and the volume of gases required. In addition, the consumer’s desire for a better viewing experience such as more vivid color, higher resolution, and lower power consumption has also driven display manufacturers to develop and commercialize active matrix organic light emitting displays (AMOLED).

Technology

Layers of display device

In general, there are two types of displays in the market today: active matrix liquid crystal display (AMLCD) and AMOLED. In its simplicity, the fundamental components required to make up the display are the same for AMLCD and AMOLED. There are four layers of a display device (FIGURE 1): a light source, switches that are the thin-film-transistor and where the gases are mainly used, a shutter to control the color selection, and the RGB (red, green, blue) color filter.

About backplane/TFT

The thin-film-transistors used for display are 2D transitional transistors, which are similar to bulk CMOS before FinFET. For the active matrix display, there is one transistor for each pixel to drive the individual RGB within the pixel. As the resolution of the display grows, the transistor size also reduces, but not to the sub-micron scale of semiconductor devices. For the 325 PPI density, the transistor size is approximately 0.0001 mm2 and for the 4K TV with 80 PPI density, the transistor size is approximately 0.001 mm2.

Technology trends TFT-LCD (thin-film-transistor liquid-crystal display) is the baseline technology. MO / White OLED (organic light emitting diode) is used for larger screens. LTPS / AMOLED is used for small / medium screens. The challenges for OLED are the effect of < 1 micron particles on yield, much higher cost compared to a-Si due to increased mask steps, and moisture impact to yield for the OLED step.

Mobility limitation (FIGURE 2) is one of the key reasons for the shift to MO and LTPS to enable better viewing experience from higher resolution, etc.

The challenge to MO is the oxidation after IGZO metalization / moisture prevention after OLED step, which decreases yield. A large volume of N2O (nitrous oxide) is required for manufacturing, which means a shift in the traditional supply mode might need to be considered.

Although AMLCD displays are still dominant in the market today, AMOLED displays are growing quickly. Currently about 25% of smartphones are made with AMOLED displays and this is expected to grow to ~40% by 2021. OLED televisions are also growing rapidly, enjoying double digit growth rate year over year. Based on IHS data, the revenue for display panels with AMOLED technol- ogies is expected to have a CAGR of 18.9% in the next five years while the AMLCD display revenue will have a -2.8% CAGR for the same period with the total display panel revenue CAGR of 2.5%. With the rapid growth of AMOLED display panels, the panel makers have accel- erated their investment in the equipment to produce AMOLED panels.

Types of backplanes

There are three types of thin-film-transistor devices for display: amorphous silicon (a-Si), low temperature polysilicon (LTPS), and metal oxide (MO), also known as transparent amorphous oxide semiconductor (TAOS). AMLCD panels typically use a-Si for lower-resolution displays and TVs while high-resolution displays use LTPS transistors, but this use is mainly limited to small and medium displays due to its higher costs and scalability limitations. AMOLED panels use LTPS and MO transistors where MO devices are typically used for TV and large displays (FIGURE 3).

How gases are used

This shift in technology also requires a change in the gases used in production of AMOLED panels as compared with the AMLCD panels. As shown in FIGURE 4, display manufacturing today uses a wide variety of gases.

These gases can be categorized into two types: Electronic Specialty gases (ESGs) and Electronic Bulk gases (EBGs) (FIGURE 5). Electronic Specialty gases such as silane, nitrogen trifluoride, fluorine (on-site generation), sulfur hexafluoride, ammonia, and phosphine mixtures make up 52% of the gases used in the manufacture of the displays while the Electronic Bulk gases–nitrogen, hydrogen, helium, oxygen, carbon dioxide, and argon – make up the remaining 48% of the gases used in the display manufacturing.

Key usage drivers

The key ga susage driver in the manufacturing of displays is PECVD (plasma-enhanced chemical vapor deposition), which accounts for 75% of the ESG spending, while dry etch is driving helium usage. LTPS and MO transistor production is driving nitrous oxide usage. The ESG usage for MO transistor production differs from what is shown in FIGURE 4: nitrous oxide makes up 63% of gas spend, nitrogen trifluoride 26%, silane 7%, and sulfur hexafluoride and ammonia together around 4%. Laser gases are used not only for lithography, but also for excimer laser annealing application in LTPS.

Silane: SiH4 is one of the most critical molecules in display manufacturing. It is used in conjunction with ammonia (NH3) to create the silicon nitride layer for a-Si transistor, with nitrogen (N2) to form the pre excimer laser anneal a-Si for the LTPS transistor, or with nitrous oxide (N2O) to form the silicon oxide layer of MO transistor.

Nitrogen trifluoride: NF3 is the single largest electronic material from spend and volume standpoint for a-Si and LTPS display production while being surpassed by N2O for MO production. NF3 is used for cleaning the PECVD chambers. This gas requires scalability to get the cost advantage necessary for the highly competitive market.

Nitrous oxide: Used in both LTPS and MO display production, N2O has surpassed NF3 to become the largest electronic material from spend and volume standpoint for MO production. N2O is a regional and localized product due to its low cost, making long supply chains with high logistic costs unfeasible. Averaging approximately 2 kg per 5.5 m2 of mother glass area, it requires around 240 tons per month for a typical 120K per month capacity generation 8.5 MO display production. The largest N2O compressed gas trailer can only deliver six tons of N2O each time and thus it becomes both costly and risky
for MO production.

Nitrogen: For a typical large display fab, N2 demand can be as high as 50,000 Nm3/hour, so an on-site generator, such as the Linde SPECTRA-N® 50,000, is a cost-effective solution that has the added benefit of an 8% reduction in CO2 (carbon dioxide) footprint over conventional nitrogen plants.

Helium: H2 is used for cooling the glass during and after processing. Manufacturers are looking at ways to decrease the usage of helium because of cost and availability issues due it being a non-renewable gas.

Gas distribution at the fab

N2 On-site generators: Nitrogen is the largest consumed gas at the fab, and is required to be available before the first tools are brought to the fab. Like major semiconductor fabs, large display fabs require very large amounts of nitrogen, which can only be economically supplied by on-site plants.

Cryogenic liquid truck trailers: Oxygen, argon, and carbon dioxide are produced at off-site plants and trucked short distances as cryogenic liquids in specialty vacuum-insulated tankers.
Compressed gas truck trailers: Other large volume gases like hydrogen and helium are supplied over longer distances in truck or ISO-sized tanks as compressed gases.

Individual packages: Specialty gases are supplied in individual packages. For higher volume materials like silane and nitrogen trifluoride, these can be supplied in large ISO packages holding up to 10 tons. Materials with smaller requirements are packaged in standard gas cylinders.

Blended gases: Laser gases and dopants are supplied as blends of several different gases. Both the accuracy and precision of the blended products are important to maintain the display device fabrication operating within acceptable parameters.

In-fab distribution: Gas supply does not end with the delivery or production of the material of the fab. Rather, the materials are further regulated with additional filtration, purification, and on-line analysis before delivery to individual production tools.

Conclusion

The consumer demand for displays that offer increas- ingly vivid color, higher resolution, and lower power consumption will challenge display makers to step up the technologies they employ and to develop newer displays such as flexible and transparent displays. The transistors to support these new displays will either be LTPS and / or MO, which means the gases currently being used in these processes will continue to grow. Considering the current a-Si display production, the gas consumption per area of the glass will increase by 25% for LTPS and ~ 50% for MO productions.

To facilitate these increasing demands, display manufacturers must partner with gas suppliers to identify which can meet their technology needs, globally source electronic materials to provide customers with stable and cost- effective gas solutions, develop local sources of electronic materials, improve productivity, reduce carbon footprint, and increase energy efficiency through on-site gas plants. This is particularly true for the burgeoning China display manufacturing market, which will benefit from investing in on-site bulk gas plants and collaboration with global materials suppliers with local production facilities for high-purity gas and chemical manufacturing.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.