Issue



SEMICON West Preview


07/01/2001







This issue of Advanced Packaging magazine will hit readers' desks just before the industry's premier event - SEMICON West 2001.

This year's event will take place at the Moscone Center in San Francisco, July 16-18, and at the San Jose Convention Center, July 18-20. Exhibition hours at the Wafer Processing portion of the show in San Francisco will be from 10:00 a.m. until 6:00 p.m. on Monday and Tuesday, and from 10:00 a.m. until 4:00 p.m. on Wednesday. Exhibition hours at the Final Manufacturing show in San Jose will be from 10:00 a.m. until 6:00 p.m. on Wednesday and Thursday, and from 10:00 a.m. until 4:00 p.m. on Friday.

To help you prepare for SEMICON West 2001, we have compiled several pages of products, services and technologies that will be launched or featured at this year's show. For more information, circle the corresponding numbers on the reader service card and mail back. For faster results, fax the card to 413-637-4343, or visit www.onlinecenter.to/ap.

Click here to enlarge image

High-speed Vision Inspection
The RTI-7500 is a high-speed vision inspection system that uses high-resolution cameras to check solder joints and verify part installation. Designed for speed and accuracy, the system's color-inspection capabilities are provided by a proprietary color mega-pixel camera. The cameras reportedly extract triple the amount of information of a gray-scale system, allowing for detail and reduced false failures. The system is said to be capable of accommodating 18 x 20-inch PCBs. CR Technology, Aliso Viejo, Calif.

Click here to enlarge image

PCB LED Indicators
LEDtronics announces the PC145 Series Round 3-mm PCB LED indicators. These right-angle, single-level LEDs are designed for applications where the board configuration requires the PCB LED to be taller than the surrounding components while providing the stability necessary to align status indicators precisely. Advanced optical-grade epoxy is used to produce bright, smooth illumination. LEDtronics Inc., Torrance, Calif.

Laser Marking Systems
The Model 3004 and Model 3005 direct laser marking systems produce laser energy in the green (532 nm) range of the spectral field, which is said to be suitable for marking on silicon, metal and a variety of other surfaces used in the electronics industry. The systems' laser energy in the green portion of the spectrum is absorbed by silicon, reportedly resulting in no damage to the product and lower energy requirements to mark its surface. These models also offer long-lasting output crystals and are field-serviceable. Markem Corp., Keene, N.H.

Wafer Measurement
The 9530-NT Ultragage is said to measure 8,700 data points in less than 60 seconds to provide the precision required for measuring thickness and shape, and for calculating stress. The product applies ADE's industry-standard metrology to backgrind, etch and lap processes that reportedly reduce wafer thickness to as little as 150 µm. It is designed for production operations that demand high-performance metrology for equipment setup, process qualification and ongoing process control of next-generation wafer-thinning processes. ADE Corp., Westwood, Mass.

Strip Test Contactors
These new Strip Test Contactors are designed to allow parallel testing of multiple devices before singulation. The benefits over single-device testing are said to include higher throughput, reduced cycle times and lower test costs. Kulicke & Soffa, Willow Grove, Pa.

Imaging Enabling Technology
The combination of DEK's ProFlow DirEKt Imaging enabling technology with precision stencils and custom-designed wafer-handling equipment is said to offer savings in capital investment, process complexity and overall process footprint for stencil printing. DEK reportedly has demonstrated solder-ball-placement solutions compatible with the 0.3-mm spheres suitable for WL-CSP, enabled by this technology, and that are capable of accurate and repeatable placements on wafers and ceramics, as well as FR4 substrates in strips, boats and carriers. DEK, Flemington, N.J.

Click here to enlarge image

Inspection
The DS-7000 T/R integrates STI's 2-D/3-D inspection capabilities with Laurier's die-sorting technology to produce an advanced inspection-final-packaging system for singulated LFBGAs, µBGAs, CSPs, bumped and nonbumped die, covering a broad component size range and high aspect ratios. This system reportedly will accept both post-sawn wafer formats and matrix strip formats on a film frame. Processing speeds are said to be as high as 5,000 parts per hour, depending on number of inspections, inverting option and array of devices at input. Laurier Inc., Londonderry, N.H.

Horizontal Wafer Shipper
The Horizontal Wafer Shipper interfaces with automation equipment while protecting 25 wafers with thicknesses down to 180 µm. Identification features include holding floppy and CD-ROM along with wafer-size engraving or bar-code label areas. Case-shift limiters reportedly prevent bumping of the wafers, and a simplified latching mechanism allows one-step opening and closing. Entegris Inc., Chaska, Minn.

Sockets
Micro Leadless Package Sockets are designed to be used for device development, production test and burn-in. The family consists of standard, off-the-shelf format socket assemblies and replacement contact sets with delivery from stock for all JEDEC MO-220-compliant devices, depending on volume. The products are designed with electrical characteristics of less than 1-nH inductance, less than 0.1-pF capacitance and low loss of 1 dB at 5 to 6 GHz. Gryphics Inc., Plymouth, Minn.

Click here to enlarge image

Die-attach System
The Apollo 3000 Epoxy Die-attach System features matrix-bonding capability with vision-target alignment at each bond site. Die-placement accuracy is said to be ± 25 µm coupled with positive displacement high-speed epoxy writing and gripper indexing for flexibility and throughputs. Other system features include 300-mm wafer capability and touchscreen programming. ESC International, Ivyland, Pa.

Software
New Version 7.0 software has electronic design automation (EDA) tool converters developed to allow users to move freely between layout design tools. Designers can use the company's advanced Stacked Die, Tier BGA, 3-D Bondwire Yield Optimization and MCM/Hybrid EDA layout tools and still use existing legacy software. This is said to expand the useful life of current tool assets while allowing access to advanced tools for new and emerging technologies. CAD Design Software, Santa Clara, Calif.

Advanced Film Analysis
The FilmExpert Advanced Film Analyzer is an FTIR reflectometer metrology tool that reportedly combines advanced optics, model-based analysis and FTIR to extract dopant concentration and thickness from semiconducting and dielectric layers on actual product wafers up to 300 mm in diameter. The unit is said to provide a small measurement spot and high-precision/high-accuracy data from IR reflectance measurements, with no artifacts or biases from stray backside reflections, even on IR-transparent, lightly doped substrates. MKS Instruments Inc., Andover, Mass.

Click here to enlarge image

Linear Motion Guide Technology
This new approach to linear motion (LM) guides, called "caged ball technology," uses a retainer that separates and aligns the recirculating ball bearings to offer a smoother, more consistent gliding motion. The technology reportedly eliminates the heat associated with ball-to-ball friction, and, as there is no ball-to-ball contact, reduces noise as well. A torque deviation of 1/10 of standard designs is said to improve accuracy and consistent operation. Located with the ball cages are grease pockets designed to retain lubricant and minimize long-term maintenance. THK America Inc., Schaumburg, Ill.

Mounting System
The Sensor Mounting System's mounting bases, rods and HalfKlamp "joints" enable users to order, not fabricate, the sensor mounts they require. The mounting bases attach to t-slot or other machine frames to form the base. Steel rods of various lengths enable users to build both horizontally and vertically to get sensors in the right position. "Bridges" or "towers" can be built to correctly position sensors, and the HalfKlamp offers aiming adjustability while host brackets are ready to accept sensors. SoftNoze USA Inc., Frankfort, N.Y.

Click here to enlarge image

Semiautomatic Die Bonders
New in SEC's line of semiautomatic die bonders are the Model 410 flip-chip bonder, Model 860 Omni laser bonder and the entry-level Model 850 flip-chip bonder equipped with the new Model 870 attachment for removing flip chips that have been bonded using new reworkable underfill materials. The Model 410 bonder is said to feature enhanced optics and mechanical movement as well as a stable platform. The 860 Omni bonder reportedly can be configured to bond a variety of items. Semiconductor Equipment Corp., Moorpark, Calif.

Solder Bumps
Now available for prototyping are a lead-free solder and a new low-alpha, lead-free solder. The lead-free solder processes were developed to meet the increasing demand for environmentally sensitive electronics-manufacturing methods. The lead-free solder uses a eutectic tin-silver solder alloy that contains 3.5 percent silver and has a melting point of 221°C. The low-alpha-emission tin-silver alloy, lead-free solder is said to use ultra-refined materials to produce ultra-low emission rates. Unitive Advanced Semiconductor Packaging, Research Triangle Park, N.C.

Parallel Seam Sealers User Interface
This field-tested Windows user interface is designed for the family of Model 2300 parallel seam sealers. The upgrade was developed to provide the benefits of Windows, including increased memory capacity for advanced processing in complex applications. The Windows software is said to be compatible with all Model 2300 systems, requiring the addition of memory to the system PC. GEM SECS II software is also available. Solid State Equipment Corp., Philadelphia, Pa.

Plasma Surface Modification Systems
Plasma Surface Modification Systems offer a patented process-temperature control as well as patented electrostatic shielding, which is said to ensure etch uniformity and control. A dry process, the plasma reportedly leaves no hazardous wastes and no residue on the product. It is also a safe surface treatment both to the environment and the substrate. Plasma Etch Inc., Carson City, Nev.

Click here to enlarge image

Semiconductor Enhancement
The 0.050 x 0.050-inch micro pin grid array (Micro-PGA) pin fields for few-chip modules (FCM) are new in ISI's line of semiconductor enhancement products. Micro-PGA packages feature high-density, low-profile pins that provide low inductance for high-speed applications. The package format is designed to provide reliable socketing with interconnect density up to 400 I/O per square inch. Interconnect Systems Inc., Camarillo, Calif.

Lasers
Gator DPSS lasers are designed to produce short nanosecond pulses and peak power intensities up to 60 GW/cm2 for processing microfeatures without microcracks or material redeposition in silicon and ceramic from 50-µm to 1-mm thick. Focus spot sizes under 6 µm are said to create narrow kerf widths for wafer-segmentation applications and high-quality microvias under 20-µm diameter. The Gator lasers (1064 nm, 532 nm or 355 nm) have a fixed 10-kHz pulse-repetition rate, maintaining the TEM00 beam quality, M2 less than 1.2 and are industrial-grade systems with MTBF between 10,000 and 20,000 hours. Lambda Physik USA Inc., Fort Lauderdale, Fla.

Ellipsometer
The S200/300-ultra Laser Spectroscopic Ellipsometer is the next generation of S200/S300 and is said to offer higher performance to both current- and next-generation semiconductor manufacturing. It has a modular platform, multi-wavelength high-resolution mode and a new small-spot high-repeatability mode that has a 5 x 10 µm measurement spot size. These technical advances reportedly enable more repeatable measurements of ultra-thin gate oxides, including oxide-nitride-oxide stacks and non-nitrided silicon dioxide under a polysilicon gate. Rudolph Technologies Inc., Flanders, N.J.

Click here to enlarge image

Test Systems
New are the T6683 VLSI Test System and its high-volume production partner, the T6673 VLSI Test System. The T6683 is said to be a suitable platform for ultra-high-speed digital production as well as high-end characterization. Its performance and suite of analog instruments that enable multi-site mixed-signal testing reportedly make it suitable for advanced SOC devices. The T6673 offers 500-MHz performance for a range of SOC test requirements. Advantest, Santa Clara, Calif.

Wafer Dicing/Grinding Tape
A reported improvement to AI Technology's wafer dicing and grinding tape, traditionally referred to as "tacky tape," includes consistent peel strength of ± 25 percent gm/inch per roll. Tapes are available in various peel strengths. Another improvement is said to be "controlled release," meaning the tape bond strength does not increase over time, providing a contaminate-free die after tape release. The company's HTCR series tapes are made of high-temperature, water-resistant, stretchable, silicone-free material. AI Technology Inc., Princeton Junction, N.J.

Stylus Profiler
The Dektak 8 is a small footprint, benchtop stylus profiler designed to enable multiple measurements to be programmed over the entire surface of 8-inch wafers and other samples. Low-force scanning, optional 3-D imaging and 1-mm vertical range reportedly make it a suitable R&D tool for a range of applications, including step heights, roughness and waviness, thin-film stress, MEMS and more. Veeco Instruments Inc., Plainview, N.Y.

Test/Burn-in Socket
The Textool 0.65-mm BGA Open-top, Type II Socket is the newest addition to the Textool test and burn-in socket family. This socket accommodates packages up to 17 x 17 mm with a 25 x 25-mm matrix. Its open-top design accommodates a range of package thicknesses and allows airflow around the device. A retractable locating guide provides for board mounting, even with hundreds of leads. The socket's vertical actuation is compatible with most robotic handlers and allows manual operation. 3M Electronic Handling and Protection division, Austin, Texas.

Automated Visual Inspection
The WAV 1000 platform is a solution for the automated visual inspection of post-saw or whole wafers. The system is designed for the inspection of active die, probe mark, ink dot and 2-D/3-D bumped 300-mm wafers. With automated defect detection, the machine is said to provide quantifiable improvements in accuracy and throughput as well as reduce inspection costs for the semiconductor manufacturer. The system performs pre-bump inspection and reportedly can detect wafer-surface defects as small as 1.8 µm. Semiconductor Technologies & Instruments Inc., Plano, Texas.

Die-attach Adhesive
The 118-06(M) is a pad-printable, B-stageable, electrically conductive, one-part, epoxy die-attach adhesive. As a pad-printable material, it reportedly can be applied in finer pattern configurations with better resolution and less deposition thickness than typically achieved by syringe dispensing. The adhesive is designed for die attachment as well as bonding capacitors to lead frames and assembling electrical and electronic components. Creative Materials Inc., Tyngsboro, Mass.

Edge/Angle Optical Polishing
For optoelectronics manufacturers of waveguides, edge mirrors, VOA, AWG, dynamic gain equalizers and beamsplitters who acknowledge that end-face polishing can optimize these devices' optical performance, Valley Design offers new technology that is said to produce an optical-quality edge surface finish. Edge/angle polishing for various substrate materials, including fused silica, silicon, silicon/oxide hybrids, sapphire, Pyrex, phosphate glass, LiN and LiTaO3, can be provided. Valley Design Corp., Westford, Mass.

Specialty Fluids
The Micronox MX2800 series of specialty fluids is a family of proprietary solvents based on Vertrel hydrofluorocarbons. The solvents are said to be azeotropic and azeotropic-like blends for critical cleaning applications. The Vertrel products reportedly were the first fluorinated cleaning alternatives with zero ozone depletion and low global-warming potential. The products are said to be suitable for critical applications, such as flip-chip underfill, wafer-level packaging, wax removal, deblocking and other high-value uses where reliability is paramount. Kyzen Corp., Nashville, Tenn.

Click here to enlarge image

Adhesive
The QMI 519 is a silver-filled electrically and thermally conductive adhesive used to attach ICs and components to metallic lead frames. It is part of Dexter's next-generation die attach, designed to withstand 260°C reflow on organic and metallic substrates. Hydrophobic and stable at high temperatures, the adhesive is said to deliver void-free bond lines with interfacial adhesion strength on a variety of metals and ceramic surfaces, including copper, silver, palladium and Alloy 42. Dexter Electronic Materials, a division of Loctite Corp., Industry, Calif.

Liquid Dispensing System
The MicroMax II Dispenser is new to GPD's series of liquid-dispensing systems. It is said to be suitable for heated applications in semiconductor packaging and high-density interconnect, including flip-chip underfill, dam-and-fill encapsulation and cavity fill. The system has a composite base designed to offer stability as well as a footprint of 36.5 x 47 x 59.5 inches (927 x 1,194 x 1,511 mm) and a compact work area of 12 x 12 inches (305 x 305 mm). It also has a dispense speed of up to 30 inches (762 mm) per second and maintains thermal consistency to ± 3° to 100°C, the company says. GPD Global, a division of LIFT Industries Inc., Grand Junction, Colo.

Epoxy for Stencil Printing
The EPO-TEK E2101 conductive epoxy is an advanced formulation said to have low levels of ions, high thixotropy stencil printing, low outgassing and electrical conductivity. The adhesive was designed to stencil print bumps as small as 30 µm high, 60 µm in diameter and a 0.005-inch pitch can be achieved. This rigid control of height, width and shape of bump reportedly is critical to bump reproducibility and coplanarity. This smooth, thixotropic paste exhibits handling characteristics and a long pot life. Epoxy Technology Inc., Billerica, Mass.

Wire Bonder
The Wire Bonder 3088 IP is said to have an ultra-fine-pitch capability in production of 50 µm. (A pad pitch of 35 µm has been tested successfully in the lab.) The implementation of the new vision system with PatMax technology from Cognex (Hanau, Germany), reportedly leads to a faster pad recognition to improve equipment productivity and robustness. The optimization of the Z axis, along with recipe portability, make the product a suitable platform for both low- and high-end applications. ESEC, Phoenix, Ariz.

Graphite Materials
Graphite Die Mold's proprietary graphite-enhancement techniques are designed to produce finished products in the industry that yield high-purity, dust- and outgas-free graphites. Purity levels of less than 3 ppm total metallics reportedly are attained through a computer-controlled purification process that uses various chemicals and gas-purge cycles. After purification, the products are stored in cleanroom-sealed containers to ensure that the product remains contamination- and particle-free. Graphite Die Mold, a division of Morgan Specialty Graphite, Durham, Conn.

Click here to enlarge image

Test Sockets
Aries Electronics has introduced a standard test-socket design that provides the exact small footprint required to fit Seiko-Epson Hummingbird 3500 and 5500 handlers. The test sockets feature the company's patented Microstrip Contact, a flexible contact system that becomes part of the PCB microstrip during testing. This system is designed to provide extremely low self-inductance (0.01 nH) per contact and low signal loss (1 dB at 10 GHz). Life cycles for the test sockets' Microstrip Contacts are said to be typically greater than 500,000 insertions, with no loss of performance. Aries Electronics Inc., Frenchtown, N.J.

Signal Towers
The LME (Tri-color LED) series of signal towers features an audible alarm. This series is used to indicate machine status, and the tower can provide three indicator colors in a single stack. Users choose one tri-color module: S1 (red, amber green), S4 (red, blue, green) or S7 (green, amber, blue) to make a single-stack tower with a three-color capability. The basic unit includes a 300-mm pole assembly and a top-mounting bracket. Optional flashing and buzzer circuits also are available. Patlite Corp., Torrance, Calif.

Robotic Module Workcell
RobotWorld is designed for high-volume, precision front- and back-end applications in the semiconductor and magnetic media environments. Integrated vision is available for part location and inspection. This multi-function, precision processing workcell has support for up to six robotic modules operating in a shared work space using advanced planar motor technology. The system reportedly can pick-and-place up to 10,000 parts per hour. Motoman Inc., West Carrollton, Ohio.

Click here to enlarge image

Ozone Sensor
The dFFOZ-LF is designed to sense a range of ozone concentrations in deionized water and other liquids at low-flow rates. Designed for low flows, below 1 ppm, it can measure dissolved ozone concentrations from the single ppb to hundreds of ppm levels and can operate directly at a range of line pressures and temperatures. Ozonated deionized water is used for surface cleaning purposes in semiconductor wafer manufacturing, as well as for the disinfection of deionized water used for rinsing. IN USA Inc., Needham, Mass.

Air Valves
The new Cube Line 10 pneumatic directional control valves are designed to offer easy installation and maintenance, minimal space requirements and custom valve-bank configuration. The Cube Line 10 valve banks come factory pre-wired and tested. Because the valves "plug in" to the manifold, making electrical and air connection simultaneously, maintenance is said to be simplified. Despite the compact package, valves feature flows up to 0.3 CV. Bosch Rexroth Corp., Pneumatics Division, Lexington, Ky.

Structural Process Management Tools
The IC3D 845 and 875 DualBeam FIB/SEM tools are designed to deliver advanced 3-D metrology for in-fab structural process control requirements. This fully automated and completely integrated 3-D metrology system is said to facilitate the transition to 130-nm nodes in semiconductor design and manufacturing. The IC3D systems combine in-situ FIB milling and SEM imaging with automated wafer handling and a flexible metrology package to provide rapid access to data. FEI Co., Hillsboro, Ore.

Click here to enlarge image

Test Solutions
Among Credence's test solutions are: Kalosxw, a high-volume tester for nonvolatile memory semiconductors used in the communications sector; Personal Kalos, a flash-memory tester designed to develop and test programs and characterize devices from the engineer's desktop; ASL 1000, a test solution for mixed-signal devices; ASL 2000, an extension of the ASL 1000 family and a high-volume tester; and RFX, a high-volume production test system said to offer low cost of ownership for testing RF/wireless devices. Credence Systems Corp., Fremont, Calif.

Step Motor/Compact Driver
The new, miniature-size 11 (28-mm square) AlphaStep (aStep) motor and driver is available in two stack lengths. The AS34AK model is 1.77 inches (45-mm) long and produces 7.8 ounce-inches (0.55 Nm) of holding torque at 24 VDC input. The longer AS36AK, with a stack length of 2.60 inches (65 mm), provides 17 ounce-inches of holding torque with 24 VDC input. This miniature motor-and-driver package is said to combine stepping and servo-motor technologies to create a new product in a small package size. Oriental Motor U.S.A Corp., Torrance, Calif.

X-ray System
The pcba/analyser is a high-resolution microfocus X-ray system that incorporates Phoenix's open-tube technology. The new system is a PC-based X-ray system with an "open-type" vacuum chamber, 360-degree axes rotation, auto positioning control, oblique view at highest magnification for high-ultra magnification at angles and a selection of software-imaging tools for total analysis. At magnifications greater than 2,500x for total magnification to detect down to 1 µm, the system is designed for the PCBA contract electronic manufacturers' market and high-end inspection laboratories. Phoenix/X-ray Systems + Services Inc., Camarillo, Calif.

Micro Sandblaster
Swam Blast Micro Sandblaster is designed to introduce a graded microabrasive powder into a controlled stream of compressed gas through an abrasive-resistant pathway and out a manually or automatically positioned nozzle to accomplish wafer cutting, contouring and edge rounding, surface texturing, junction removal, oxide removal, backlapping, backside managing for gettering, mesa diode processing, lead-frame deflashing and more. Crystal Mark Inc., Glendale, Calif.

Click here to enlarge image

Microscope Accessory
The Isis is a new accessory designed to improve the ergonomic performance of operators using traditional eyepiece microscopes. It is said to increase the viewing distance, allowing operators greater freedom of head and body position. The accessory can be retrofitted to microscopes manufactured by Leica, Nikon, Olympus, Wild, Zeiss and others. Vision Engineering, New Milford, Conn.

Click here to enlarge image

Low-inductance Test Contactor
These test sockets are used to test everything from ultra-high-speed microprocessors for computers and servers to RF devices used in communications satellites and PDAs sporting Bluetooth. The number of different types of test sockets varies with the vast array of significantly different kinds of IC packages that have proliferated over the last several years, as well as the current leadless-type chip carriers. The Model IC299 Series/IC299-124 has an I/O range of 8 to 304 and a pitch of 0.5 to 1.27 mm. Yamaichi Electronics USA, San Jose, Calif.

Vision Tools
The SMART Series is a family of vision tools designed for high-speed gray-scale or geometric pattern recognition, sub-pixel accuracy, 360-degree capability, optical character recognition, and 1-D and 2-D bar-code readings. Said to employ several powerful algorithms, the series is designed to find and locate patterns, characters and codes quickly and accurately, regardless of angle orientation, size, orientation, shape, focus or partial occlusion. These vision tools reportedly are suitable for demanding alignment applications. Coreco Imaging Inc., Bedford, Mass.

Click here to enlarge image

Burn-in System
The HPB-3 provides high-power burn-in with test for VLSI devices that dissipate up to 50 W. A fully configured system holds 720 devices, with individual temperature control for each device. The system allows both logic and memory patterns to run at 10 MHz. Features include 120 I/O channels per driver
eceiver, programmable temperature control up to 150°C, ASIC architecture for per-pin timing, testing and formatting of pattern data, and more. Micro Control Co., Minneapolis, Minn.

Placement System
MRSI is introducing 5-µm placement capability for optical applications used for data and telecommunications. The system is configured for eutectic die attach of lasers and photodetectors onto submounts. Precise placement reportedly is achieved with a thermally and mechanically stable platform said to offer fast settling times and ± 5 µm (0.0002-inch) or better placement accuracy required for photonic applications. MRSI, North Billerica, Mass.

Cutting System
The SL-3020G is designed to cut and mark populated and unpopulated ceramic and fiber PCBs. This new technology is performed with a laser beam delivered through a fiber-optics system, reportedly resulting in simpler fixturing and greater reliability because parts remain stationary during the operation. Directly reading CAD and other files, the imbedded controls require no external PC for programming or operation. Online Inc., McHenry, Ill.

Click here to enlarge image

Submicron Combination Flip Chip/Die Bonder
The CDB50-ST is a combination machine consisting of the company's "ST" technology. The new machine is said to be capable of bonding flip chips as well as dies facing up in the same pass, reportedly achieving submicron placement accuracies (application dependent). The technology uses an optical bond head in conjunction with a proprietary bonding scheme. The machine can pick up dies as small as 200 µm from gel packs or waffle packs. RD Automation (formerly Research Devices), Piscataway, N.J.

Click here to enlarge image

Platform
The GSMXS Platform can be configured to provide users with needed accuracy and throughput for applications such as flip-chip-on-flex and flip-chip-in-package. It is designed to tackle typical flip-chip-on-flex application challenges, including imaging issues, accurate placement, and component and substrate handling. And, with the new wafer feeder, the platform can handle flip-chip-in-package applications such as FC-BGAs and FC-CSPs. Universal Instruments Corp., Binghamton, N.Y.

Recirculating Chiller
The RC030 model recirculating chiller provides cooling capacity of 3,000 W, or 7.5 K BTU/hour. The standard product comes with a brass 4.3-gpm positive displacement pump and has a compact footprint. It also offers tight temperature control, maintaining a temperature stability of ± 0.1°C. The company now offers five different cooling capacities: 600, 1,100, 2,200, 4,500 and now 3,000 W. Lytron Inc., Woburn, Mass.

Click here to enlarge image

Miniature Profile Guideways
The Minirail is a series of miniature profile guideways designed to make precision motion control economical for tightly packaged applications. Said to be robust, this line locking table and flat-panel color display. Said to be compact, the system mounts to the bonder frame to keep the operator's work area open and accessible for placement. It also can be used to pick bumped die from a wafer using the Tresky fixed-die ejector needle with vacuum pull-down. Fancort Industries Inc., Semiconductor Equipment Division, West Caldwell, N.J.

Laser
The Sapphire 488-20 is a diode-pumped semiconductor laser that provides 20 mW of output power at 488 nm. Designed to enable a new generation of semiconductor inspection instrumentation, the laser is free from the limitations associated with 488-nm air-cooled argon-ion lasers. This is Coherent Laser's first product in a class of optically pumped semiconductor lasers based on a type of vertical external cavity surface-emitting laser. Coherent Laser Division, Santa Clara, Calif.

Dry Photoresist Stripper
New is the Enviro 300-mm Advanced Dry Strip System. This multi-chamber, single-wafer tool is designed to remove resist and polymer residue by using microwave and low-energy RF plasmas. The product is said to eliminate the need for wet-stripping processes that use hydroxylamine (HA)-based or other types of solvents. Traditional of two-row miniature guideways is made from stainless steel. An advanced ball-retainer design is said to simplify assembly and maintenance, reducing total cost of implementation and increasing application versatility. Schneeberger Inc., a subsidiary of the International Schneeberger Linear Technology Group, Bedford, Mass.

Click here to enlarge image

Flip-chip Alignment/Placement
Fancort Industries has added a new flip-chip option to mount on its full line of Dr. Tresky die bonders. The new system consists of a motorized color camera with split prism, acid treatments, such as H2SO4/H2O2 strips, HF dips or alcohol rinses are not needed. Up to six process gases can be used for resist stripping and residue cleaning. ULVAC Technologies Inc., Methuen, Mass.

Click here to enlarge image

Socket System
New is the solderless BGA socket system that operates at up to 9-GHz bandwidth. This new five-socket system allows the user to test, debug or program any BGA or µBGA accommodating every lead pitch, ball count, grid size and package size combination. Applications include development, debug, hand-test, programming, test of ASIC or FPGAs, package and chip qualification, production prototype and high-volume production use. Emulation Technology Inc., Santa Clara, Calif.

Analytical Probe Station
The new 9000 series 12-inch (300-mm) analytical probe station is the Micromanipulator Company's third-generation prober. It includes features such as MicroTouch knobs, which allow hands-on control of the stage for users who prefer the tactile control of the prober. The user can then use the joystick control or optional software control without any special programming commands; the prober knows its location regardless of the navigation method used. Standard is the manual loading tray, and an optional auto-wafer handling system is also available. The Micromanipulator Co., Carson City, Nev.

Probe for Test Sockets
The Pico Probe is designed for fine-pitch test sockets and multiple cycle connectors requiring electrical performance and long life (more than 500,000 cycles). The patent-pending design mounts on 0.025-inch pitch and features a 0.085-inch uncompressed length, with 0.022-inch rated travel resulting in a working length, or signal path length, of 0.063-inch (1/16 of an inch). The probe is said to be so small that 10,000 probes will fit into a thimble. The product's contact resistance is less than 50 mW. Synergetix, Kansas City, Kan.

Resistance Meter
The PRS-812 is a portable, battery-operated wide-range ohmmeter that includes datalogging and calculating capabilities. It records and stores up to 80 measurements and calculates minimum, maximum and average of all stored data. The product digitally displays measurements in W2, KW, MW, GW and TW, and in exponential format, e.g. 1.5E08. Fully portable, it measures resistance from less than 0.1 to 1.0 x 1012 W with measurement accuracy of ± 5 percent. Prostat Corp., Bensenville, Ill.

300-mm Die Attach
The Swissline 9022 300-mm die attach platform features sophisticated wafer handling with a proprietary adapter system, a five-minute changeover to different wafer sizes, and minimal wafer exchange times during operation (two wafers are processed in parallel). The vision engine is integrated into a graphical user interface. The modular concept of the machine allows handling of epoxy die attach application on metal lead frames and organic substrates, as well as adhesive tape-based packages (e.g., LOC/BOC for memory devices) on the same platform. Alphasem AG, Switzerland.

Click here to enlarge image

High-speed Taping System
The ATP-7K is a taping system for various SMD components. It is said to offer high processing speed, precision device placement in pockets, and accurate and repeatable sealing. This unit can process SOIC, SSOP, TSOP, TSSOP, QSOP, VSOP and MSOP devices at throughputs up to 7,000 units/hour. Two vision-based inspection options are available, with one module performing "in-pocket" (2-D) and a second, Proscan, providing "in-line" (3-D) inspection. American Tech Manufacturing (ATM) Inc., Glenolden, Pa.

Modular Dispensing System
The XyflexPro is a small-footprint scalable dispensing system. Its modular design reportedly allows up to four machines to be installed in-line, all controlled from a single PC-based controller and Windows-NT user interface. The system is available in two dispense area versions - 22 x 22 inches or 13 x 10 inches - and can be customized for both SMT and semiconductor packaging applications. It provides throughput speeds of 30,000 dots per hour and, by linking systems together, can provide up to 60,000, 90,000 or 120,000 dots per hour. Speedline CAMALOT, Franklin, Mass.

Click here to enlarge image

Integrated Reflow/Cleaning System
The MicroPro is a compact, integrated reflow and cleaning system designed for processing singulated parts in boats, trays and other carriers, as well as individual strips and arrays containing multiple parts. The reflow process is said to be optimized for BGA packages and is performed in a five-zone thermal-control section using a highly refined temperature profile. Bottom-side cooling reportedly ensures symmetrical sphere solidification. Speedline ACCEL, Franklin, Mass.

Thermal Cores
The TC1050 thermal cores are designed to solve cooling problems for high-performance electronic boards. They reportedly are more than three times the conductivity of copper, in excess of 1,050 w/m-K, while at 25 percent the weight of copper. They also are said to have a designable thermal expansion coefficient, from 1 to 24 ppm/C. The product is formed as a macro-composite around TGP, a material with thermal conductivity said to be well over 1,350 w/m-K. Advanced Ceramics Corp., Cleveland, Ohio.

Nanofocus X-ray
The FXS-160.25NT optimized X-ray system is said to be ideal for conducting real-time inspection of BGAs, µBGAs, chip-scale packages, flip chips, chips-on-board, bond wires, wedge bonds, vias and other applications where precise measurement is required. Features include geometric magnification up to 2400x and total magnification up to 7200x, large sample sizes of 300 x 400 mm and up to 22 pounds, FIP-600NT real-time image processing system, feature recognition of 0.5 micron, five-axis control, manipulation speed settings from 50 µm to 80 mm/sec., an open and demountable X-ray tube with a maximum current range of 3 mA, interchangeable targets, less than 1 µm focal spot size, and an ergonomic operation console. FeinFocus USA Inc., Stamford, Conn.

Semi-automatic Wafer Printer
The SPM is a semi-automatic CSP, flip-chip and DCA printer designed for accurate, repeatable printing and ease-of-use. It reportedly provides true vertical separation of the stencil from the product as well as accuracy levels of 0.001-inch at 6 S at 0.012-inch pitch or below. Also available are options that allow users to adapt the system to specific requirements, including multiple vision systems, dedicated tooling for 4-, 6- or 8-inch wafers, and vacuum options to adapt to substrate support requirements. Speedline MPM, Franklin, Mass.

Deposition Rate Tuner
The 4000DRT Deposition Rate Tuner optimizes dielectric PECVD tool processes with feedback in less than one minute. The technology enables off-line predictive process control and rapid recipe revision and helps to eliminate misprocessing. It also provides information that allows engineers to change the heater block temperature ramp rate. It is available in single-and dual-chamber configurations. Sekidenko, an Advanced Energy Company, Vancouver, WA.

Excimer Laser
The NanoLith 7000 is a high-performance 193 nm excimer laser offering 20 Watts average output power at 4 kHz repetition rate. It is designed to support high scan speeds for maximum productivity and wafer throughput. The narrow bandwidth is targeted to be less than 0.35 pm at FWHM, and 0.95 pm at 95 percent energy will enable high contract imaging from lithography scanners using lenses with a NA of more than 0.75. Built-in laser metrology will provide pulse-to-pulse data acquisition and feedback control to minimize transient wavelength instabilities. Cymer Inc., San Diego, CA.

Click here to enlarge image

Test Contactors
The new J-Contacts test contactor is designed for a variety of applications, including high- frequency devices, such as cellular phones, Bluetooth and high-speed memory, or low-noise devices, such as audio preAMP and HDD R/W AMP, VCO, SAW filter and RF integrated circuits. J-Contacts meet the need for fine-pitch package devices with pitches of 0.4 mm, and are available for SMD packages, such as SOP, QFP, LCC and CSP. The product is specified to exhibit less than 2nH of inductance, and bandpass with DC to 6GHz at -1dB. J-Contacts are said to be fully compatible to existing contactors, and will bring no damage of DUT board pattern. Micronics Japan Co. Ltd., San Jose, Calif.

Click here to enlarge image

Custom Surface Mount Modules
Accutek offers custom-engineered surface mount modules ranging from analog multiplexers to resistor terminators designed to solve space and volume constraints, enhance socket functionality or replace end-of-life obsolete parts. Typical modules include resistor terminators, RC filters, OP amps, analog multiplexers, digital-logic circuits, CPUs and memory circuits in DIP, ZIP, SIP, QUIP, SIMM, DIMM, SODIMM PGA and mezzanine packages. Accutek Microcircuit Corp., Newburyport, Mass.

Lithography
The Saturn Spectrum 3e incorporates features that improve tool productivity and ease-of-use for high-volume flip-chip and wafer-level packaging. It features an improved machine vision system. This pattern-recognition-based alignment system eliminates the need for dedicated targets and simplifies integration with existing processes. It also features improved illumination, providing an increased wafer plane irradiance of 1,900 mW/cm2. The tool also incorporates an automative field aperture changer to enable faster recticle field size changes, as well as an automated broadband ghi-line filter changer, allowing automated switching between with g-, h- or i-line exposure capabilities. Ultratech Stepper Inc., San Jose, CA.

Automated Plug-and-play
The Asyst Plus Portal is an automated plug-and-play solution for both 200 mm and 300 mm applications. It enables seamless integration between OEM productions tools and advanced fab automation systems. The technology includorpotate a series of integrated building blocks that can be custom-configured. The complete system integrates critical functions into a turnkey material handling and factory automation interface for a full range of wafer process and metrology tools. Asyst Technologies Inc., Fremont, CA.

Environmental Test Chambers
The ETC Series of environmental test chambers is said to offer fast transition rates, a reliable refrigeration system and improved cost of ownership. The series is now compliant with Bellcore GR-1209 and GR-1221. It also uses new scroll-compressor technology, along with advanced air delivery, low-watt heating elements and environmentally friendly refrigerants in a small footprint. Offered is a temperature range of -35 to 190°C (-31 to 375°F) for the single stage, and -73 to 190°C (-100 to 375°F) for the Cascade design. Blue M Electric, Watertown, Wis.

Film Thickness and End-point Control System
Precice is an in-situ film thickness and end-point control system for copper CMP. It uses a combination of optical and eddy-current technologies that allow chipmakers to run multiple steps within the CMP process with good repeatability. The eddy-current probe provides accurate thickness measurements in real time and enables the CMP tool to adjust for film variations to ensure proper SMP process control. The optical system uses a single-wavelength, multi-angle reflectometer, which provides comprehensive data. It also eliminates false end-point reporting. KLA-Tencor Corp., San Jose, CA.

AP