Issue



New products


03/01/2001







For more information, circle the corresponding numbers on the reader service card and mail back. For faster results, fax the card to 413-637-4343, or visit www.onlinecenter.to/ap.

Click here to enlarge image

Relays
PT Series relays have low profiles and offer 2-, 3- or 4-pole double throw contacts for switching currents up to 12, 10 or 6 amps, respectively. The relays stand 1.14-in tall and are available in plug-in and PCB mountable versions with either AC or DC coils. Contact material is cadmium-free silver-nickel alloy (AgNi 90/10) offered with or without gold plating. The system is said to require less coil power than previous designs but generates higher contact forces. Coil power requirement is 750 milliwatts, and each relay is equipped with a manual test tab and a mechanical indicator. A full line of sockets and accessories is available for use with the plug-in version of the PT relay. Tyco Electronics, Harrisburg, Pa.

Click here to enlarge image

Ceramics
A new line of controlled resistivity ceramics for static charge dissipation is said to be ideal for mechanical, chemical or vacuum applications that require controlled electrical conduction or static dissipation. These ceramics feature metallized, brazed or bonded assemblies, and are manufactured in a range of insulating and controlled resistivities. Morgan Advanced Ceramics, Latrobe, Pa.

Reliability Test Vehicle
Polymer Flip Chip's reliability test vehicle (RTV) evaluates conductive and underfill epoxies for flip chip assembly reliability and repeatability. The RTV device consists of a patterned FR-4 chip carrier and a matching thin-film metallized chip that, when assembled together, form a series of low-resistant daisy-chained bump connections in a diagonal pattern under the chip. The obtained resistance values can be used to calculate an average bump- interconnect resistance for comparing differing conductive epoxies and evaluating the change in interconnect resistance during temperature cycling and prolonged high-temperature storage. Polymer Flip Chip Corp., Billerica, Mass.

Click here to enlarge image

Rework System
The M-9100AV rework system automatically calculates alignment, places the component and solders it. Unlike split-vision systems, the M-9100AV is said to require minimal training and no calibration. The system's software provides complete control over temperature, airflow and duration during the solder process. Solder profiles are graphically displayed in real-time for easy monitoring. The system includes a large area IR board heater to prevent board warpage, plus pad cleaning and precision dispense tools. Manncorp, Huntingdon Valley, Pa.

AlN Substrates
Aluminum nitride (AlN) substrates are now available from Valley Design. They come in sizes as large as 4.5 inches square or round, and in thicknesses from 0.003 to 0.100 inch. The substrates are stocked as-fired, and may be lapped and polished with surface finishes from 0.5 to 20 micro-inches. AlN features a thermal conductivity of 180 W/mK, good dielectric properties and low thermal expansion (similar to silicon). The substrates are said to be ideal as an electrically insulating non-toxic material for hybrid, power and microwave electronics applications. Valley Design Corp., Scotts Valley, Calif.

Analyzer Software
WaferAnalyzer 2.2 with camLine SPC is a software application designed to analyze production-related defect problems and monitor process variations through advanced trend identification. The software is said to be proficient at monitoring trends, such as in-line process control and inspection tool control. Additionally, the SPC application has advanced set-up for control charts and performs distribution analysis. ADE Corp., Westwood, Mass.

Click here to enlarge image

Photonic Soldering System
The LS-200 photonic soldering system is designed for soldering rework of all types of components. The system is said to be ideal for soldering and desoldering RF shielding and various paste-in-hole and solder-paste-on-through-hole applications. The LS-200 is a semiautomatic, off-line rework system that prevents overheating and thermal stress of components, the board and adjacent components, while also enabling the programming of precise reflow profiles. The system handles boards up to 24 x 24 inches, and its vision system uses software control to achieve accuracy of more than 0.001 inch. A temperature recorder uses thermocouples to track temperatures, and a Windows NT interface allows customers to link rework information to their entire process. No tooling changeover is required for different components. A laser beam can be adjusted from 1.0 to 4.0 mm and can be programmed to follow any path, including pinpoint, peripheral and grid array heating. ViTechnology LLC, Haverhill, Mass.

Click here to enlarge image

Bar Code Placement
Siemens Siplace placement systems with dual conveyors now feature a PCB barcode reader for improved reliability. A laser scanner reads the barcode label on the top or bottom side of each incoming PCB, and the computer then uses this information to automatically select the correct placement program. All current types of barcodes are supported. The placing of components can be carried out on different products in the two conveyors, with asynchronous transport. This new barcode feature is available with the introduction of software version 502 for the Siplace S-25 HM and HS-50. Siemens Production and Logistics Systems AG, Nuremberg, Germany.

Click here to enlarge image

Solder Joint Inspection
The IS-1000 inspection system allows operators to easily inspect solder balls underneath a component. Images are captured on video reportedly for a fraction of the cost of X-ray inspection. The system employs non-glare light and is used to identify lifted leads, cracks, solder balls, bridging, cold soldering and misalignment. It can inspect BGA, CSP, QFP and LGA solder joints. Magnification is up to 140x on a 14-inch monitor. ASG, Cleveland, Ohio.

Click here to enlarge image

EMI Gaskets
Gore-Shield SMT EMI gaskets can be used as an RF grounding pad or interconnect. These gaskets conduct currents of a primary RF signal much the same way a connector conducts RF currents from a PCB to a coaxial cable, and are also said to provide shielding to protect against EMI emissions as compared to metal spring contacts. The gaskets can be used anywhere a designer needs to place an electrical contact point and come in several different sizes. All parts are shipped in tape-and-reel that is compatible with surface mount pick-and-place machinery. W.L. Gore & Associates, Newark, Del.

Click here to enlarge image

Progressive Scan Camera
The BCV-M10 provides two PCBs, each measuring 1.57 inch square. 0.5-inch format CCD sensor and driver circuits are located on one board; timing and video circuits are located on the other. The two boards are permanently interconnected via a 2.17-inch flex cable that allows the boards to be freely positioned. The system can be operated in a continuous mode at 25 progressive scan frames per second, or externally triggered using pulse width to govern shutter speed or edge triggered for operation at a pre-set shutter speed. The camera can provide synchronization in the form of HD/VD pulses. The unit also features a frame-delay readout mode that allows the captured image to be stored in the CCD sensor for a maximum of 80 ms. Using this feature, three cameras can be configured with a single frame grabber for 3-D image capture. JAI America, Laguna Hills, Calif.

Click here to enlarge image

Conductive Epoxy Adhesive
Tra-Duct 2705 is said to be a low-cost epoxy that forms strong bonds with most metals, ceramics, glasses and plastics. With a room-temperature cure, the product is good for cold solder for bonding and shielding heat-sensitive components. The epoxy is a nickel-filled material that is a smooth paste upon application. It is recommended for use where exposure to salt water causes silver-based systems to corrode. The product is free of solvents, silver, copper or carbon additives and is reportedly a cost-effective replacement for many gold- and silver-based products. Tra-Con, a National Starch & Chemical Co., Bedford, Mass.

Click here to enlarge image

Machinable Ceramic
Aremcolox 502-1550 is a zirconia phosphate-based machinable ceramic used for producing specialized brazing fixtures, induction liners, wafer chucks, optical stands and combustion nozzles for applications to 1,550°C. The product has a low CTE of 0.5 in/in/°F x 10-6 and is available in both low (60 to 70 percent) and medium (70 to 80 percent) density compositions. Both forms are said to exhibit good mechanical strength and electrical and thermal insulation, a compressive strength of greater than 25,000 psi, dielectric strength of 80 volts/mil and thermal conductivity of 5.3 Btu-in/hr-ft2-°F. The material is inert, will not outgas in ultra-high vacuum and does not react with most molten metals, salts and acids. The product is available in rods from 0.5 to 2.5-inch diameter, and plates from 0.25 to 1.00-inch thick. Aremco Products Inc., Valley Cottage, N.Y.

Click here to enlarge image

Vertical Oven
The Vertical Pro inline oven is an integrated thermal process solution for automatic production lines. Applications include drying of electronic components, underfill curing for flip chip assembly and SMT bonding. The oven is suited for thermal processes from 50 to 300°C, with cycle times from a few minutes to six hours. The Vertical Pro features a small footprint (2.5 m2), and is built with seven heating zones and one cooling zone, each with separate temperature controls. The maximum temperature is 300°C, with a temperature uniformity of ± 3 K at 200°C and ± 5 K at 300°C. Lükon Thermal Solutions, Täuffelen, Switzerland.

Conductive Platinum Coatings
Three types of conductive platinum inks for screen printing are being offered by Eltecks. After application, they are fired at 575 to 1,400°C to develop electrical conductivity and adhesion. They may also be applied by brushing by thinning them with a proprietary paste thinner. The fired films are 10 to 12 microns thick and have sheet resistivity less than 0.05 ohms per square. 3335 is suitable for application on alumina, porcelain, steatite, zirconia, lanthanum-chromite, lithium-niobate and other high-temperature materials. 3335-G is designed for application on glass, and 3335-UF is an unfluxed version and forms a fired film with 99.99-percent platinum. Eltecks Corp., Bangalore, India.

Click here to enlarge image

Epoxy Preforms
Uni-forms epoxy performs, an alternative to liquid epoxy, are designed to provide a simple method for sealing or potting electromechanical components. Uni-forms are one-part epoxy resins that are solid at room temperature. When heated, they melt and cure, forming a consistent seal that protects components from dust, moisture, |oil, flux, industrial cleaning solvents, conformal coatings and other contaminants. The performs are available in a range of shapes, sizes and materials, and can be dispensed at rates of 200 to 600 ppm. Multi-Seals Inc., Manchester, Conn.

Click here to enlarge image

Clock Oscillators
Surface mount R3312 clock oscillators operate from 1.5 to 125 MHz and are stocked in quantity for several widely used frequencies. The standard frequencies are 25, 44.736, 50, 75, 100 and 125 MHz. The oscillators are available with 50 parts-per-million frequency accuracy over the -40 to +85°C range. Available for 3.3V operation, the oscillators feature 35 mA maximum current draw at 125 MHz. The oscillators are said to function during ± 10-percent supply voltage variations. The R3312 oscillators reportedly preserve better than 45/55 symmetry and feature 2.5 ns maximum rise/fall times. Jitter specification is 5 ps RMS maximum. The oscillators are built in a 5 x 7.5 x 2-mm surface mount package, and are available in tape- and-reel. MF Electronics Corp., New Rochelle, N.Y.

Click here to enlarge image

Cobalt Target
Tosoh SMD's new cobalt target features a reduce grain size and a high pass-through flux, which are said to contribute to better film thickness uniformity and target utilization in advanced semiconductor physical vapor deposition cotact processes. The target has a hexagonal-close-packed target microstructure and has a fine grain size of less than 75 microns. High purity 3N5 and 4N5 solder-bonded cobalt target assemblies are available. Tosoh SMD Inc., Grove City, Ohio.

Click here to enlarge image

Bench-top Dispensing Robot
The I&J 2300 fully automatic bench-top dispensing robot is 560-mm wide and 529-mm deep, has a work area of 300 x 320 mm and weighs 35 kg. It comes with ready-to-use dispensing software, RS232C lead and memory card for program storage. Hardware is also available that allows for mounting of dispensing barrels, valves or cartridges to the robot's Z-axis. Typical materials dispensed include epoxies, silicones, inks, solder pastes, adhesives, fluxes, greases, lubricants and potting compounds. The system allows most liquids or pastes to be dispensed automatically in any sequence of dots, circles, arcs and lines. A multi-axis configuration allows for full control of dispensing over three-dimensional objects. I&J Fisnar Inc., Fair Lawn, N.J.

Click here to enlarge image

Carrier Tape
Nu-Way Electronics offers custom carrier tape that allows packaging of components on tape-and-reel for pick-and-place of components onto PCBs using any standard SMT feeder. Tapes are available in 8-mm and 12-mm widths, conforming to EIA-481-1-A. The tapes are manufactured in a way that reportedly produces precise, consistent tape pockets. Nu-Way Electronics Inc., Elk Grove Village, Ill.

Click here to enlarge image

Liquid Dispenser
The MicroMax Dispenser is part of a series of liquid dispensing systems that is said to be ideal for non-heated applications in surface mount applications and semiconductor packaging. The MicroMax has a stable composite base with a footprint of 36.5 x 47 x 59.5 inches, and a work area of 12 x 12 inches. The system has a dispense rate up to 36,000 dots per hour at a speed of 30 inches per second, and can be operated as a conveyorized system for PCBs or boats/carriers. With the capability for mounting two valves at all times, the system dispenses dots as small as 10 mil. The MicroMax reportedly features X-Y-Z accuracy better than ± 0.0015 inch and X-Y-Z repeatability less than ± 0.0006 inch. The product employs a real-time multi-tasking operating system with proprietary software displayed in an X Windows format. GPD Global, Grand Junction, Colo.

Spray Fluxing
The EZ-Flux automatic spray fluxing system is said to offer economical application of flux to printed circuit boards. The product uses spray nozzle technology with two-direction spray. An air-actuated traversing mechanism is said to provide precise motion control at a speed of 10 to 15 inches per second. Deposition variation is reportedly maintained at ± 15 percent. The EZ-Flux spray head delivers flux through a pressurized delivery system with a deposition range of 500 to 3,000 µg/in2. A dual nozzle option allows the operator to switch flux types in less than one minute. Additionally, the system can be upgraded to include Ultra-Spray technology, which features a vibrating solid titanium head and powerful air jets that create a repeatable spray coating. The product accommodates all liquid fluxes, including no-clean, O/A and RMA fluxes. Standard board width is 1 to 20 inches, with the option of expanding to 24-inch capacity. The system can be installed internally or externally to a wave soldering system, or in a stand-alone unit. Ultrasonic Systems Inc., Amesbury, Mass.

Assembly and Test Software
Trilogy 5000 CAM software (release 6.0) is for virtual prototyping, assembly and test. The software is said to address time and cost problems associated with handling multiple types of engineering data, such as design data, bills of materials and approved vendor lists. Using the open ODB++ data exchange format, the software reportedly incorporates machine optimization and line balancing functions. Additionally, ProBOM is a new lightweight, standalone tool that has been developed to work with Trilogy 5000 and other systems for the reading and creation of first version BOMs early in the assembly cycle. Valor Computerized Systems, Lake Forest, Calif.

Click here to enlarge image

Odd Form Placement
The Polaris Assembly Cell provides automated odd form component placement and final assembly in one machine. Features include open architecture, multi-level assembly capability, customizable tooling, user-friendly interface, CAD-driven placements, vision inspection and orientation, and the flexibility to handle a wide component range. Universal Instruments Corp., a Dover Corp. Co., Binghamton, N.Y.

Tape-and-Reel System
The DT-8000 high-performance tape-and-reel system is said to provide ultra-safe, high-throughput inspection and handling of SOIC, SSOP, TSSOP, MSOP and similar devices. The system accepts devices in tube or magazine, and outputs to tube or tape. The DT-8000 uses Drop-Point technology, with which a gravity-assisted arm moves each device into tape in a single, unidirectional motion, eliminating torsional forces and the risk of damage to leaded devices. Also, the system does not allow devices to come into contact with one another after inspection, which reduces the risk of device damage, misalignment and system jams. For tube-to-tube applications, throughput is reportedly up to 10,000 units per hour with full vision inspection. The advanced vision system, the AVS-4000, inspects for lead quality, marking and orientation, as well as providing complete, camera-based 3-D measurement of lead and average plane coplanarity, terminal dimension, true position span and spread error, pitch, width and standoff. Robotic Vision Systems Inc. (RVSI), Canton, Mass.

Silicon-based Conformal Coatings
The 1900 series is a family of three silicon-based conformal coatings is reportedly formulated to deliver high performance, PCB protection, cost-of-use advantages and compliance with most international regulatory standards. These silicon-based formulations are said to offer flexible, low-stress protection for fine pitch leads and delicate components. All three coatings are self-priming materials using non-corrosive moisture/condensation cures. They can be cured at room temperature or accelerated by heat, forming transparent elastomers with good electrical properties over a wide temperature range (-55 to 200°C). The one-part formulations come ready to apply in many process conditions, including selected no-clean flux residues. The products can be blended to achieve viscosity between 130 and 50,000 cps without the use of solvents. Dow Corning, Midland, Mich.

Click here to enlarge image

Surface Mount Adapters
New footprint conversion adapters for surface mount devices are said to allow customers who face integrated circuit shortages or obsolescence to use a similar device in a different package style without re-spinning their motherboard. The design reportedly allows for conversion of almost any surface mount device footprint to another; examples include QFP to BGA, BGA to BGA, and TSOP to SOIC adapters. Standard products have one-to-one pin outs. Capacitors and resistors are added and signals can be re-routed as required to match functionality of the original or a competitor's device. ISI offers concept to prototype in two weeks, and volume production in four weeks. Interconnect Systems Inc., Camarillo, Calif.

Click here to enlarge image

In-line Dispensing
The Millennium M-2022 Series dispensing system, with high-capacity conveyor technology, is said to be ideal for microelectronics applications, especially underfill and dam and fill. Underfilling the components in one lane can be done while fluid flows in the other lane. Each lane can be controlled and moved independently. Up to an 80 percent increase in throughput is achievable by eliminating conveyor transport time and the time a dispensing system has to wait for flow-out. The M-2022 system comes standard-equipped with capacity to support six heaters and lift tables operating independently. Three stations are available for each lane: pre-heat, dispense and post-heat. Asymtek, a Nordson Co., Carlsbad, Calif.

Click here to enlarge image

Screen Printer
The KS-1700 printer is said to remedy inconsistent printing and insufficient stencils by troubleshooting problems. The system features a proprietary floating and rotating squeegee head design, equipped with a linear guide and cylinder, allowing it to rotate 90 degrees and enabling the operator to easily clean front and back blades. The machine includes a solder paste dispenser that holds standard cartridges. The printer's dual fiducial cameras provide a fully automated vision system for both stencil and board, resulting in a reported accuracy of ± 0.001 inch at 3s with fiducials and ± 0.004 inch without fiducials. The auto conveyor width adjustment results in minimal board warpage; warp tolerance is ± 0.079 inch. The KS-1700 also has flexible transport system specifications. Standard height is 37.40 inches, ± 0.787 inch. There are buffer conveyors on both input and output with an optional fixed rail, and a 20 x 20-inch stencil adaptor. Juki Automation Systems, Morrisville, N.C.

Reflow Software
Version 1.04 Profile Planner has added more than 200 solder pastes to the specification database. This tool is said to provide electronic assemblers the ability to generate a robust thermal profile for a specific combination of reflow oven and solder paste. The new Profile Planner includes a built-in reflow oven configuration database, an expanded solder paste specification database, the ability to match/optimize a paste to an oven using an overall "goodness factor," direct links to the Web sites of oven and paste manufacturers, and universally accepted Excel workbook software application. ECD Inc., Milwaukie, Ore.

Click here to enlarge image

Laser Marking System
The SmartLase laser marking system is said to be ideal for marking on circuit boards, semiconductors, and interconnect, passive or electromechanical devices. The CO2 system does not require a PC on the factory floor, and also allows marking of products such as connectors, resistors, switches and relays. Markem Corp., Keene, N.H.

AP