Issue



APEX Preview


01/01/2002







This year APEX will be held January 20-24, 2002, at the San Diego Convention Center. To help our readers prepare for the show, we're offering a preview of products and technologies that will be exhibited. For more information about the conference and exhibition, visit www.goapex.org. For more information, circle the corresponding numbers on the reader service card and mail back. For faster results, fax the card to 413-637-4343, or visit www.onlinecenter.to/ap.

Click here to enlarge image

Water-based Cleaner
VIGON SC 202 is a water-based cleaning agent used to clean stencils, misprints and electronic assemblies in the same equipment. The product is characterized by good cleaning ability, a long bath life and an HMIS rating of 0-0-0. It removes SMT adhesive, solder paste and flux residues from a variety of surfaces.
Zestron Corp.,
Ashburn, Va.

Large Capacity X-ray Inspection
The Oversized Jewel Box 70-T is a large capacity, ultra-high resolution X-ray inspec-tion system that combines a 10-micron, 70kV X-ray source with small "source to image" distance to capture ultra-high resolution images. The system accommodates assembled PCBs up to 18 x 24 inches. A five-axis positioner permits complete 360-degree rotation of boards up to 16 x 18 inches and provides the ability to scan an area of 16 x 18 inches. The system allows magnifications of greater than 500X.
Glenbrook Technologies,
Randolph, N.J.

X-ray Inspection System
The VJ-2000 DIG X-ray inspection system features a digital flat panel detector for high quantum efficiency, with 12-bit digital output, large imaging areas, distortion-free images, moderate dynamic range, and long life. A five-axis manipulator allows an operator to rotate and tilt a substrate while adjusting the X, Y and Z axes. The system also features a sealed X-ray source.
VJ Electronix, a division of V.J. Technologies Inc.,
Bohemia, N.Y.

Click here to enlarge image

High-speed Placement Machine
The NT-based ACM Micro with 9-micron, 3-sigma accuracy and new 25-slot wafer feeder is designed for high-speed placement of flip chips and other bare die applications. The flexibility and open architecture allow the same system to place surface mount components at speeds up to 4,500 uph. The system can accommodate any mix of surface mount com-ponents, odd form parts or advanced packages.
Assembléon, Eindhoven,
The Netherlands.

Array Package Rework System
The APR-5000 array package rework system offers repeatability, accuracy and thermal control for reworking BGA, CSP, LGA, micro SMD, MLF and bumped chip component packages. The system is capable of handling boards up to 8 x 10 inches with a placement accuracy to 0.001 inch and interconnection pitches as low as 0.3 mm. The system's inte-gral vision system allows operators to simul-taneously view the topside of the PCB and a superimposed image of the underside of the component.
Metcal, a Dover Company,
Menlo Park, Calif.

Assembly Line Software
SIPLACE Software Solutions is an integrated suite of software products to set up and man-age the entire PCB assembly line. The suite provides capabilities for data preparation, program generation, machine optimization, line balancing, job scheduling, central data storage, and real-time monitoring of the manufacturing process. A placement-machine monitoring program provides operators with key information they need to maintain optimal machine performance.
Siemens Dematic Electronics Assembly Systems Inc.,
Norcross, Ga.

Post-placement AOI System
The Optima 7200 post-placement automated optical inspection system provides comprehen-sive defect detection and monitoring. The sys-tem uses advanced technology for image acquisition and analysis, and provides compo-nent placement inspection and fault coverage at high-speed assembly line rates.
Teradyne Inc.,
Walnut Creek, Calif.

Click here to enlarge image

In-line Dryer
The OmniJet in-line drying module can be integrated into a production line, operating in conjunction with most in-line cleaners. The OmniJet uses a high-temperature, high-flow air drying technology that removes and evap-orates water from dense and complex elec- tronic assemblies. The system's high process efficiency results in higher throughput from the user's existing cleaners.
Stoelting, Kiel,
Wisc.

Vertical Curing Oven
The Ultima vertical curing oven is an automated in-line system that offers a small footprint, and is ideal for advanced packaging lines for semicon-ductor and fiber optic manufacturers. The system boasts versatile application solutions for thermal process challenges.
Tiros Corp.,
Tempe, Ariz.

Magazine Buffer
The Model 2360 micro magazine buffer is a modular, stand-alone system designed to automate the buffering of carriers, JEDEC trays, PCBs and lead frames. The buffer simpli-fies magazine loading, minimizes safety haz-ards, and allows speed configuration for dif-ferent magazines. Multiple product types may be handled simultaneously and the buffer can operate in FIFO, LIFO, load or unload mode. The unit can also store "bad" boards in designated magazines.
Simplimatic Automation,
Lynchburg, Va.

Dispensing Technology
The ProFlow DirEKt has several upgrades, including a dual chamber transfer head, exten-sive wiper options and an enhanced "paste low" sensor. The transfer head optimizes the movement and conditioning of large volumes of paste or adhesive. A broad selection of pre-cision wipers is available, including stepped or flat titanium for use with metal stencils, or mylar for delicate surfaces. The "paste low" sensor reduces paste wastage and allows precise user control via a micrometer scale cali-brated in 0.5-mm steps.
DEK,
Flemington, N.J.

RF Assembly Cell
The HotRail RFA Cell provides precision assem-bly of RF power amplifier components. This automatic, in-line, multi-chip, high-accuracy (± 10 to 12 microns) system provides void-free assembly and programmable steady-state heat control for eutectic die attach. The system couples high capacity input and output magazine handlers with precision component assembly capability. Operators can load an entire shift of parts, while the component assembly system can eject and attach fragile die, such as GaAs as thin as 3 mils.
Palomar Technologies,
Vista, Calif.

Nanofocus X-ray Tube
The xs series nanofocus X-ray tube is capable of obtaining images down to 500 nm. The tube is offered as an option on Phoenix X-ray's systems, and it provides high magnification with sharp image resolution. The technology is applicable for high-magnification X-ray of defects, such as fine bond wire cracks or voids, that occur in flip chip solder balls.
Phoenix X-ray Systems + Services Inc.,
Camarillo, Calif.

Component Placement Machine
The Advantage Series features modular machine concepts for unlimited field upgrades, a Windows-based operating system, touch-screen operation, and feeder capacity of up to 328 feeders. The Advantage can place common components from 0201 to 55 x 55-mm, as well as flip chip, CSP, BGA and odd-shaped compo-nents. The series includes machines with place- ment rates up to 21,000 c/h. All models can be set up as serial multi-systems, operated from one computer with combined placement rates of up to 40,000 c/h.
MIMOT USA,
Irvine, Calif.

Click here to enlarge image

RF Probe Card
The SpeedTip RF probe card is a cantilever-based probe card that accommodates at-speed testing from 1.2 to 2.5 Gbps on two to 16 RF lines. Features include a total probe length of less than 0.25 inch and a metal ring that extends the ground plane over all probes, thereby maintaining the environment while providing better isolation.
Kulicke & Soffa Industries Inc.,
Willow Grove, Pa.

In-line Coating System
The Falcon ICS 412 in-line flux coating sys-tem, when integrated to the Falcon 8500 or 1200 conduction/convection reflow furnace, can process wafers from four to 12 inches with no tool change required. Coating recipes and temperature profiles are controlled from a single, user-friendly touch screen panel. The system can dispense up to four process fluids and is fully programmable for the dispense start, end, sweep and height. The system can also be used as a post-reflow wafer cleaner and dryer.
Sikama International Inc.,
Santa Barbara, Calif.

X-ray System
The FOX-160.25 provides total magnification up to 7,200x and feature recognition of 500 nm. The system is designed for detailed inspection of samples up to 300 x 400 mm that weigh up to 22 lbs. The high-precision manipulation system features five-axis control. The open and demountable X-ray tube has a high-voltage range up to 160 kV with a maximum current range of 3 mA. Focal spot size is less then one µm, and radiation emissions are less than 1 µSv/hour.
FeinFocus USA,
Stamford, Conn.

Aqueous Cleaner
Hydrex SP aqueous cleaner is a neutral pH detergent formulated to offer safe, non-flam-mable cleaning in aqueous cleaning equip- ment. The cleaner removes raw solder pastes and uncured surface mount device adhesives from stencils and misprinted assemblies. The product is safe for use with metals, plastics and elastomers, and is fully compatible with aque-ous spray and ultrasonic cleaning equipment.
Petroferm Inc.,
Fernandina Beach, Fla.

Inspection Systems
ScanINSPECT-API (automatic print inspection) and ScanINSPECT-ACI (automatic conductor inspection) are low-cost, user-friendly alterna-tives to manual inspection methods and AOI machines. The Windows-based systems are each integrated with an automated table and a color image-processing unit capable of 100-percent inspection of wet or dry printed mate- rials. Conductor, resistor or dielectric materials can be inspected on LTCC tape and other substrate materials.
ScanCAD International Inc.,
Morrison, Colo.

No-clean Solder Paste
Delta 691 no-clean solder paste incorporates a combination of hot slump resistant material with a new activator blend that eliminates mid-chip beading and micro solder balling. Features include high print speed (up to 8 inches/sec-ond), more than 8 hours of stencil life, and suit- ability for pin-in-paste application. The 691 can be probed with an ICT pin and will reflow with or without nitrogen.
Qualitek International Inc.,
Addison, Ill.

Water Soluble Paste
The ESP 447 water soluble solder paste is formulated to remain stable, homogeneous and creamy when exposed to above average temperature and humidity. The system can be dropped in existing reflow and cleaning processes. ESP 477 pastes are available in a variety of alloys (including lead-free) and packaging options.
EFD Solder Paste Group,
Lincoln, R.I.

Stencil Inspection System
StencilScan is a fully integrated, off-line inspection workstation using a PC Windows-based software package integrated with a high-resolution, calibrated, A3 size flatbed scanner. This combination allows for inspec-tion of stencils, screens and boards for accura- cy and blockage after fabrication or cleaning, and the ability to inspect for absence/presence/shape/size and position of apertures, stencil damage, distortion and stretch.
Smart Sonic Corp.,
Van Nuys, Calif.

Curing Technology
Variable frequency microwave (VFM) technol-ogy allows faster curing of standard, off-the- shelf, polymeric adhesives and coatings used to encapsulate or die attach electronic compo-nents. VFM selectively heats adhesive areas while minimizing coefficient of thermal expan-sion mismatch between the die, substrate and adhesive. VFM's volumetric heating enables curing of adhesives up to 10x faster than con-vection ovens, which reduces viscous flow time and reduces part movement.
Lambda Technologies Inc.,
Morrisville, N.C.

Benchtop Plasma System
The Model PE-200 features touch-screen con-trol, compact design, high frequency power, automatic matching network, and custom electrode configurations. Other features include absolute process temperature control, electrostatic vacuum chamber shielding, loading flexibility and mass flow controllers.
Plasma Etch Inc.,
Carson City, Nev.

Component Counter
The 2002 GC-20 portable taped component counter is designed for inventory, pre-kitting and component count verification. Features include a state-of-the-art photoelectric sensor, divide-by to permit an exact count of different types and sizes of components, and a push-button marker for taped components. Changeovers from SMD to axial or to radial components are quick and easy. The counter is compact, heavy-duty and lifts easily off its reel stand for portability.
Automated Production Systems Inc.,
Huntingdon Valley, Pa.

Wave Solder Machines
The Novastar benchtop wave solder machines are available with single wave for through-hole or dual wave for SMT board assembly. The units require very little solder for their size, with 8- or 12-inch wave widths, stainless steel solder pot, slide-out stainless steel foam fluxer, and an onboard air compressor. The computer control includes 10-menu profile storage, RS232 serial interface, SPC online or offline data logging, low solder indicator, and fault monitoring and reporting.
Novastar Technologies Inc.,
Huntingdon Valley, Pa.

Flexible Assembler
The KE-2020 is a high-speed flexible assembler designed to place small and odd-shaped compo-nents, as well as standard components, at opti- mum speed. Its flexibility is ideal for producing a variety of high-mix, high-throughput jobs in a short span of time. Features include an addition-al high-accuracy head and vision centering sys- tem.
Juki Automation Systems,
Morrisville, N.C.

Flip Chip Bonder
The Model 850 flip chip bonder is designed for flip chips, chip scale devices and bare die used in entry-level low-volume production and development environments requiring accura-cies of ±12 µm. The bonder may be ordered with the new Model 870 attachment for reworking flip chips that have been bonded using any commercially available underfill material.
Semiconductor Equipment Corp.,
Moorpark, Calif.

Anti-tombstoning Solder Pastes
Multicore 63S4 solder pastes produce safe residues and are effective over a range of reflow profiles in air or nitrogen. Suitable for fine pitch printing to 0.4 mm and high-speed stencil printing to 150 mm/sec, these products contain a high activity no-clean flux that is effective on HASL, OSP copper, gold over nickel, and silver immersion. All 63S4 products offer good abandon time, long open time, good slump resistance, and good tack life. The 63S4 alloy is said to eliminate tombstone defects and reduce component misalignment that occurs when reflowing boards with small compo-nents.
Multicore Solders, a division of Loctite Corp.,
Rocky Hill, Conn.

Linear Motion Guides
The Type SRS is a linear motion guide that fea-tures Caged Ball technology, which isolates each of the load carrying re-circulating balls in their linear motion guides in an individual cage or pocket. The cage prevents ball-to-ball con-tact and associated friction. Type SRS is con- structed with stainless steel and is ideal for installation in confined spaces. Eight models are available, including wide versions.
THK America Inc.,
Schaumburg, Ill.

Stereo Zoom Microscope
Alpha features large expanded pupil eyepieces that generate brilliant, high-resolution images. The 38-mm viewing distance is as much as four times greater than traditional micro-scopes. Alpha also features 60 watts of halo- gen illumination with magnification capabilities up to 160X. A variety of objective lenses, mul-tipliers, oblique viewers, photo attachments and mounting options are available.
Vision Engineering,
New Milford, Conn.

Test and Inspection System
The 5DX Series 5000 is the next-generation automated 3-D X-ray test and inspection system built on patented 3-D laminography technology. It provides detection of BGA opens and includes a 5DX defect analyzer, which provides dynamic compensation for manufacturing and process variations in defect confirmation. The system also features a test link that guides users through program development, an algorithm tuner, and a program advisor that checks the test program against best practices and test parameters.
Agilent Technologies,
Palo Alto, Calif.