Issue



In the News


11/01/2003







Albany's Wafer Clamp Rings Demonstrate Process Performance

MANSFIELD, MASS. — The High Performance Materials Division of Albany International announced that its wafer clamp rings manufactured from Pyropel HD polyimide (PI) engineering plastic successfully have been beta tested for use with plasma etch tools at the fab of a leading U.S. analog device manufacturer. The testing demonstrated that rings made of Pyropel HD materials have comparable performance characteristics, but lower cost of ownership (CoO), than those made with competing plastics. Wafer clamp rings are consumable items that typically must be replaced after a minimum of 600 hours of operation.

For many IC manufacturers, semiconductors are a commodity business. Profitability depends on increasing manufacturing efficiency and reducing costs. Even IC companies more focused on producing leading-edge technology increasingly are sensitive to manufacturing cost concerns. As a result, tool CoO, of which consumable costs are a part, have become a factor of greater importance in tool purchase decisions.

The wafer clamp rings were beta tested in an oxide etch chamber during 600 RF process hours and three wet clean cycles. During testing they were exposed to trifluoromethane (CHF3), carbon tetra- fluoride (CF4), oxygen and argon gases, 100 mTorr of vacuum pressure, and RF power of 700 W. Factors considered in the beta test evaluation included particle generation, response to chamber pressure, wafer uniformity based on surface scanning, electrical properties of devices processed and the effect of the plasma on the clamp ring (based on a visual inspection).

Industry Companies Partner for Low-pressure Injection Molding

DULUTH, GA — Henkel Adhesives, Nordson Corp. and Aztek Specialty Products have partnered to support low-pressure injection molding worldwide. Henkel will supply the polyamide resins while Nordson will provide the resin dispensing equipment and Aztek will handle application development and integration. These companies bring a combined 30 years of adhesive knowledge to this arrangement.

Low-pressure injection molding uses polyamide hot melt resins for low-pressure filling of molds. The use of low pressure allows injection molding in applications unable to withstand the high pressure present in traditional injection molding. The low-pressure process protects delicate parts in fiber optics, electronic and electrical applications, and uses much less expensive molds.

Low-pressure injection molding is a familiar process in Europe and is gaining acceptance in North America. Use of inexpensive aluminum molds, simple dispensing equipment and high-performance, low-viscosity polyamides produces a high quality, cost-effective finished part.

Henkel and Nordson will support Aztek in developing and servicing the low-pressure injection molding market.

Click here to enlarge image

null

Henkel Loctite Offers Technology Workshops

INDUSTRY, CALIF. — Henkel Loctite Corp. announced the Electronic Materials Technology Workshops, a series of free, daylong seminars designed to provide participants with a broad understanding of the key materials used in electronics manufacturing. More than 15 seminars are scheduled through the end of 2003 in locations throughout the United States, Canada and Mexico.

Electronic Materials Technology Workshops will be taught by Henkel Loctite engineering and technical representatives who will offer solutions for semiconductor and electronic component packaging and PCB assembly and protection. Discussion will cover underfills, encapsulants, conformal coatings, electrically and thermally conductive adhesives, die-attach adhesives, surface mount adhesives, phase change materials, molding compounds, potting compounds, optoelectronic materials and photonic component assembly materials.

Seminar dates and locations are available by accessing the company's Web site at www.loctite.com/electronics/seminars.html. To register for a seminar, contact Dayna Hitchcock at (530) 885-2793.

Sporian Receives MEMS Project

BOULDER, COLO. — The Department of Energy (DOE) awarded Sporian Microsystems Inc. a contract to investigate a high-temperature MEMS sensor that will be used to monitor temperature and pressure under extremely harsh conditions above 1,000°C. The contract is sponsored by the DOE through The National Energy Technology Laboratory in Morgantown, W.V. and will extend into early 2004.

The contract will be performed through a partnership between Sporian Microsystems and Linan An, Ph.D., of the University of Central Florida.

ASAT Opens China Manufacturing Facility

HONG KONG and PLEASANTON, CALIF. — ASAT Holdings Ltd. completed phase one of its China manufacturing facility.

The new 225,000-sq.-ft. facility in Dongguan, China, 65 miles north of Hong Kong, is a state-of-the-art manufacturing facility designed to optimize productivity, enhance product flow efficiencies and deliver world-class quality. Additionally, ..the facility will include the latest processes and operating solutions, including SAP's enterprise resource planning solution and Camstar's manufacturing tracking and execution system.

Production will begin with assembly and test of the company's Leadless Plastic Chip Carrier and fine-pitch BGA product lines. The company's China customers will continue benefiting from many of the same advantages of the company's Hong Kong facility, including customer service, supply chain management and quick time-to-revenue.

Preparations for phase two of the plan are underway and will include an additional 320,000 sq. ft. of manufacturing.

Click here to enlarge image

null

Palomar, Sonix Team to Improve Yield

VISTA, CALIF. — Palomar Technologies joined with Sonix to help component manufacturers improve yield and reduce assembly costs. Customers of Palomar's Process Development and Prototyping Services now can use the Sonix UHR-2001 scanning acoustic microscope to measure the voiding in eutectic and epoxy die attach, and improve their manufacturing processes.

Sonix Inc., a wholly owned subsidiary of the Danaher Corp., is a manufacturer of scanning acoustic microscopes and ..nondestructive test equipment. The Sonix UHR-2001 is an advanced scanning acoustic microscope that nondestructively identifies internal discontinuities in semiconductor packages.

Click here to enlarge image

null

GES Funds Facility for FASL JAPAN LTD.

SAN DIEGO, CALIF. — GE Global Electronic Solutions (GES), a leading company in sourcing, financing and remarketing semiconductor equipment, sourced and structured an approximately $100 million equipment finance deal for FASL JAPAN LTD., a subsidiary of the new joint venture between AMD and Fujitsu. FASL JAPAN manufactures flash memory ICs, and currently operates three fabs located in Aizu-Wakamatsu City.

The deal was funded in conjunction with GE Capital Leasing of Japan and comprises the sale and leaseback of 143 pieces of equipment, including lithography, metrology, deposition/etch and implant/furnace.

SEMI SMG Reports Q2 Shipments

SAN JOSE, CALIF. — Worldwide silicon wafer area shipments increased by 8 percent during the second quarter 2003 when compared to the first quarter, according to SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

SMG is a special interest group organized by Semiconductor Equipment and Materials International (SEMI), consisting of SEMI members who produce polycrystalline silicon, monocrystalline silicon or silicon wafers. SMG participant companies collectively represent more than 95 percent of the total worldwide semiconductor silicon production.

Total silicon wafer area shipments were 1.27 billion sq. in. during the most recent quarter, up from the 1.17 billion sq. in. shipped during the previous quarter. The new quarterly total area shipments are equal to second quarter 2002 area shipments.

"The second consecutive quarter of expansion in silicon consumption clearly indicates we are entering a new growth cycle following the worst downturn in the semiconductor industry," said Volker Braetsch, vice president strategic planning and communication at Wacker Siltronic, and chairman of SEMI SMG. "There appears to be a tight supply of 300-mm silicon wafers — the primary growth driver for silicon producers. Furthermore, as additional IC fabs become operational, we may see further tightening of supply in the short- and mid-term. For smaller diameters, including 200-mm wafers, the silicon industry is already at capacity limits."

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products and consumer electronics. The highly engineered thin round disks are produced in various diameters (from 1 to 12") and serve as the substrate material on which more than 95 percent of today's semiconductor devices or "chips" are fabricated.

Universal Teams with CALCE to Enhance Analysis

BINGHAMTON, N.Y. — Universal's Surface Mount Technology Laboratories and the CALCE Electronic Products and Systems Center (EPSC) at the University of Maryland have agreed to cooperate on research projects about reliability and manufacturability in packaging and assembly. Work is expected to focus on interconnect reliability and techniques for lead-free manufacturing.

Marketing Forum Offered at IMAPS

WASHINGTON, D.C. — The Microelectronic Marketing Research Council (MMRC) of IMAPS will present the Second Annual Marketing Forum on Wednesday, November 19, 2003, at IMAPS 2003, the 36th Annual International Symposium on Microelectronics at the Hynes Convention Center in Boston. The forum is free to anyone in attendance at IMAPS 2003.

Session topics and speakers include the following:

  • Opening remarks — Michael O'Neill, chairman, MMRC Steering Committee
  • Packaging Is Leading the Recovery — Jim Walker, Gartner Dataquest
  • Opportunities for Application-specific and Value-added Components — Dennis Zogbi, Paumanok Group
  • Broadband Wireless Technology and Opportunities — Jack Browne, Penton Media
  • Global Electronics Manufacturing - The China Wild Card — Jan Vardaman, TechSearch International
  • The Wireless Industry — What's Hot and What's Not — Don Brown, International Wireless Packaging Consortium
  • Panel Discussion — Moderator: Jack Browne, Penton Media.

O'Neill said that 2003 was forecast as a year of continuing recovery for various segments of the microelectronics industry. This session will clarify if those forecasts have been fulfilled, which technologies are "hot" and which continue to struggle.

Electronics Adhesives Company Formed

SAN DIEGO, CALIF. — A new specialty chemical company has been incorporated in San Diego. Advanced Applied Adhesives will manufacture and market die-attach adhesives and specialty materials for the microelectronics industry.

Die-attach adhesives are critical for bonding semiconductor devices to their protective packages and, therefore, must meet the most stringent quality standards. The domestic market for such products is in excess of $100 million annually.

The company is the result of Frank Husson, Jr., and Stephen Dershem, Ph.D., who founded Quantum Materials Inc. (QMI) in 1985 and sold it to Dexter/Loctite in 1997. At the time of the sale, QMI had captured almost 90 percent of the silver-glass die-attach market and was making inroads into the epoxy die-attach market with its patented bismalimide liquid monomer-based, skip curable adhesive.

Amkor Reports Flip Chip Growth

CHANDLER, ARIZ. — Citing increased market demand for flip chip solutions, Amkor Technology Inc. is experiencing strong growth in flip chip package shipments.

According to Richard Groover, vice president of Amkor's flip chip product business unit, "Extensive technology development efforts over the last several years are rapidly translating into volume flip chip unit shipments to levels far exceeding a year ago." Groover confirmed first quarter 2003 unit shipments have more than doubled from the same quarter in 2002.

Demand for flip chip technology has increased as economic hurdles such as high substrate costs are being addressed, allowing the company to offer high-performance flip chip packages more cost-effectively. The increased performance of flip chip technology is supporting demand in several applications areas, most notably PC chipsets, graphics, DSPs and ASIC applications.

According to an Advanced IC Packaging Marketing and Trends report in Electronics Trends Publication, the number of flip chip units shipped is expected to grow to more than seven billion by 2006, representing a CAGR of more than 20 percent.

Click here to enlarge image

null

Artest and GCS Join Forces for IC Services

SUNNYVALE, CALIF. — Artest Corp. aligned with Global Communication Semiconductors Inc. (GCS) to make it possible for fabless IC design houses to access complete front- and back-end services for high-performance devices from a single source.

Artest and GCS provide outsourced services to IC design companies of high-end, high-performance devices. Their shared experience in specialty niches such as gallium arsenide (GaAs)-based chips makes them natural partners for offering seamless services to customers who want an efficient path from wafers to production shipment to save time and avoid complications arising from using unconnected outside partners.

SEMI Presents Awards, Elects Chairman

SAN JOSE, CALIF. — Semiconductor Equipment and Materials International (SEMI) honored eight industry technologists for their outstanding contributions to the development of standards for the semiconductor and flat panel display (FPD) industries. The SEMI International Standards awards were announced at a reception to celebrate 30 years of SEMI standards.

The Karel Urbanek Memorial Award is the highest Standards honor bestowed by SEMI and is awarded to individuals who have made significant contributions to the SEMI International Standards program and to the development of semiconductor and FPD industry standards.

For 2003, there are three recipients of this award:

  • Jack Martinez, senior scientist, National Institute of Standards Technology.
  • A joint award was presented to Masaaki Yamamichi, market development Asia director, Wacker NSCE Corp., and Noel Poduje, vice president of new technology, ADE Corp.

Several other awards were presented to North American participants in the SEMI Standards Program:

  • The Merit Award was given to Tim Volin, manufacturing engineering manager, Parker Hannifin Corp.
  • The Leadership Award was presented to Dinesh Gupta, president of consulting firm STA.
  • Technical Editor Appreciation Award was given to Pauline Derbyshire, chemical engineering manager, Earth Tech Microelectronics.
  • The Corporate Device Member Award went to Dave Rehrig, retired, Agere Systems.
  • The Honor Award was presented to Noel Poduje, ADE Corp.

In other SEMI news, George W. Chamillard, chairman and CEO of Teradyne Inc., was elected chairman of the global industry association's Board of Directors. Chamillard succeeds Arthur W. Zafiropoulo, chairman and CEO of Ultratech Inc., who served as chairman for the past year.

In addition to the chairman's election, the following industry executives were elected by the association's membership to join the SEMI Board of Directors: Douglas Neugold, president of ATMI; Mary Puma, president and CEO of Axcelis Technologies; Stephen Schwartz, chairman, president and CEO of Asyst Technologies; and Akira Yamamura, president of Ferrotec Corp.

OSE Names COO for Philippines Operations

KAOHSIUNG, TAIWAN — Orient Semiconductor Electronics (OSE) announced that George Kao will take on the newly created position of COO of Philippine subsidiary Orient Semiconductor Electronics Philippines Inc. (OSEP).

Kao joins OSEP from Santa Clara, Calif.-based Foveon after a 20-year career in technology that began at National Semiconductor.

The addition of Kao at OSEP in Laguna ensures that OSE will continue to expand assembly and packaging services in line with growing customer needs.

AIT Relocates Test Headquarters

SUNNYVALE, CALIF. — Advanced Interconnect Technologies (AIT) relocated its Sunnyvale, Calif. test operation to a 20,000-sq.-ft. location, also located in Sunnyvale, that increases operation efficiency and offers added conveniences for customers. The move allows the company to make numerous engineering upgrades, improve the organization and layout of its test equipment, and provide offices dedicated to customers teaming with its engineering staff.

The new AIT test facility in Sunnyvale has been designed from the ground up to provide customers with facilities that foster collaborative efforts with AIT's test program development teams. Customers will be able to work with AIT's engineers to access the leading test platforms for logic, mixed-signal and RF designs, ensuring that products will be shipped to market flawlessly and efficiently.

The new North American test headquarters, located at 1284 Forgewood Ave., Sunnyvale, CA 94089, operates 24 hours per day, seven days a week.