Issue



News


09/01/2003







APiA Adds FeinFocus and Shipley to Expanding Industry Membership

The Advanced Packaging & Interconnect Alliance (APiA) is an alliance of top-ranked equipment suppliers and process developers that serves the advanced packaging and interconnect industries. The goal of the alliance is to enhance the productivity of the equipment and process solutions critical for advanced packaging and interconnect processes, as well as develop guidelines and standards to enable easy adoption of these sophisticated solutions. By fostering relationships among device manufacturers, equipment suppliers and industry organizations, the alliance encourages discussion of these industry issues, thereby allowing members to share technology, processes and market information.

With a host of executive members already on board, the APiA announces two additions: Shipley Co. and the expanded membership of FeinFocus.

Shipley Co. LLC's Electronic and Industrial Finishing (EIF) business, a subsidiary of Rohm and Haas Co., joined the APiA. Shipley EIF's membership strengthens the alliance's foundation of knowledge and proficiency.

FeinFocus expanded the relationship to investigate the importance of X-ray inspection as the semiconductor industry shifts toward wafer-level and system-on-chip packaging.

Nanofocus and nanotomography will become more vital as a result of these wafer technology trends, and FeinFocus will collaborate with other APiA members on the research of X-ray inspection technology to meet the needs of this evolving marketplace.

Report Explores Optical Substrates and Backplanes

SURREY, UNITED KINGDOM

A new standard report — Worldwide Market and Technology Trends for Optical Substrates and Backplanes 2003-2010 — from BPA Consulting Ltd. discusses two major research programs: IC packaging and interconnection for high-speed systems, and optoelectronic interconnections and packaging technology and market trends. For both issues, the consulting company reviewed system architecture trends and identified the requirements and demand for component subassemblies and materials.

This report focuses on various optical substrate technologies that are under development and targeted at high-performance systems that require high speed, but more likely high data transfer rates. The following were identified as major market segments for optical technology:

  • High-end communication routers/ switches
  • Telecommunication switches
  • High-end servers
  • Military/avionic systems.

A key trend discussed in the report is that copper-based technology has improved and is being used in systems that were thought to be the exclusive domain of optical-based technology as little as two years ago. This is due, in part, to the higher cost associated with nascent technologies and also inevitable improvements in mature technologies.

Additionally, the report concludes that many of the technical challenges have been underestimated, especially low-loss optical board coupling. Through the use of case studies, the report highlights the developmental status of these challenges and how they are being resolved. Three types of optical board technology are appearing: buried optical glass fiber, buried glass waveguides and buried polymer waveguides. The first is likely to be the main construction for at least the next couple of years, with predominantly polymer-based optical waveguides being used toward the end of the decade. Glass's low loss characteristics and high thermal stability make it an attractive technology, and it is forecasted to find use in numerous applications.

Universal Price Slashing Aims to Set Product Pricing Standards

BINGHAMTON, N.Y. — Universal Instruments Corp., a provider of innovative circuit, semiconductor and back-end assembly technologies and equipment, as well as integrated system solutions and process expertise, has embarked upon a global cost reduction initiative designed to set product pricing standards in the assembly industry.

The company is implementing a price reduction strategy across the board for its surface mount products and standardizing global pricing. This aggressive move is facilitated by the corporation's strategy of cost reduction in every part of its operation. Key to the new pricing structure is the policy to pass on the dividends of cost reductions Universal has secured on four fronts: new manufacturing operations in China coming online; globalization of the supply chain; driving costs out of the U.S. supply base; and operational consolidation into premises in greater Binghamton, N.Y. Aided by these four initiatives, the corporation also returned to profitability in Q1 2003, with continuation into Q2.

In addition, design engineers have attacked cost at the outset of recent development projects. "It is not just a case of reducing prices. Anyone can do that," said Ian McEvoy, president of Universal Instruments. "Our stated goal is to be the number one low-cost equipment supplier in the industry, offering the best customer value, while securing profitability and earnings growth to sustain our expansion plans."

This pricing initiative is the first of many upcoming tangible benefits the corporation intends to offer.

"We took the path of cost improvement and now that this is paying back, we are able to provide a lower cost solution," explained McEvoy. "That means we can counter the paradigm that Universal Instruments is a high-priced supplier."

MEPTEC Names Marcoux Executive Director

MOUNTAIN VIEW, CALIF. — With more than 20 years in existence, MicroElectronics Packaging and Test Engineering Council (MEPTEC) is a trade association of more than 500 semiconductor suppliers, manufacturers and individuals committed to enhancing the competitiveness of the back-end portion of the semiconductor business. MEPTEC is concerned exclusively with assembly, packaging and test issues, and is dedicated to the advancement of that segment of the industry.

Recently, MEPTEC appointed Phil Marcoux as executive director.

Marcoux has more than 20 years involvement in the semiconductor industry as an engineer, CEO, entrepreneur and volunteer. During his career, he participated in development, production and management roles. In 1981, he founded and was CEO of AWI, one of the first companies in the United States to specialize in SMT assembly and test. In 1992, he was a founder and CEO of one of the industry's first wafer-level packaging companies, ChipScale. Additionally, he was elected to and served on the Surface Mount Technology Council for 14 years.

March Relocates Headquarters

CONCORD, CALIF. — March Plasma Systems moved to a new headquarters in August. ..Located around the corner from their former facility, the new building houses the company's engineering development group, R&D, applications and technical support, and sales and marketing. Located at 2470-A Bates Ave., Concord, CA 94520, the site provides the company the opportunity to create three applications labs for increased customer support, training and demonstration capabilities.

Click here to enlarge image

null

Siemens Dematic Announces Löttner and Brandis Promotions

MUNICH, GERMANY — Johann Löttner has been named CEO of Siemens Dematic AG by the Supervisory Board. He was promoted from chief financial officer of Siemens VDO Automotive AG.

Click here to enlarge image

Löttner takes the place of Dieter Straub, Ph.D., who was CEO and successfully completed the integration of Mannesmann Dematic AG, which was acquired with Atecs, into theSiemens Production and Logistics Systems Group.

Löttner served in various positions at the Mannesmann company since 1975, ending as deputy chairman of the Managing Board of Mannesmann VDO AG. When Siemens VDO Automotive AG was established in May 2001, he was appointed CFO.

Additionally, Tilo Brandis has been appointed president of the Electronics Assembly Systems Division (EAS) of Siemens Dematic AG. He succeeds Gerhard Ott, who has taken over other tasks within the company.

Brandis worked for Siemens for six years in various management positions, and believes that with the potential deriving from the division's highly qualified employees, the company is well equipped for the future.

DEK, PacTech Combine Efforts in Wafer Bumping Solution

FLEMINGTON, N.J. — For high-volume, high-speed wafer processing and bumping solutions, DEK and PacTech USA formed a technology partnership that leverages the strengths of the two companies. By combining PacTech's electroless under bump metallization (UBM) processing with DEK's advanced mass imaging systems to create the solder bumps, users can implement a wafer-level, SMT-compatible flip chip assembly process.

DEK provides ultra-fine-pitch mass imaging technologies that work in conjunction with PacTech's wafer processing systems to create a low-cost, high-volume production process for wafer-level flip chip assembly.

The fully automated, wet-chemical electroless production process assisted by PacTech's PacLine 2000 concept creates a thin Ni/Au UBM layer on top of the wafer pad metallization at a lower cost than electroplating. Wafer sizes range from 4 to 12", and pad metallization may be aluminum or copper. When combined with solder bumping techniques, the electroless Ni/Au UBM process meets the aggressive cost targets of smart card and smart label applications as well as the high-reliability requirements of automotive microelectronic products and flip-chip-in-a-package applications such as CSP or WLCSP.

Kyzen Introduces Versatile Contract Cleaning Services

NASHVILLE, TENN. — Kyzen Corp. introduces a new service — contract cleaning. From parts washing to wafer defluxing, the company's service cleans to customer specifications.

Advantages of the service include:

  • A qualified staff
  • Virtually every cleaning system available the same day
  • Quick turnaround
  • No waste issues
  • No cleaner maintenance costs
  • No need to purchase expensive equipment.

Additionally, Kyzen features two cleaning facilities — one located in Manchester, N.H., and the other in Nashville, Tenn. — to ensure that there will be quick turnaround for time-critical cleaning projects. The fully outfitted cleaning facilities offer many advantages, including aqueous, semi-aqueous and vapor phase cleaning technologies.

YESTech, Aegis Partner

SAN CLEMENTE, CALIF. — YESTech entered into a strategic partnership with Aegis Industrial Software wherein YESTech will adapt Aegis' CircuitCAM software for CAD, Gerber, ASCII/Centroid and other file conversion for auto-training YESTech's X-ray and optical inspection systems.

CircuitCAM will transform more than 32 different design data formats into the standard YESTech machine format, providing automatic machine training. This delivers new product introductions (NPI) and engineering changes while eliminating manual processes traditionally required with other computer-integrated manufacturing (CIM) software products.

The software also offers YESTech customers bills of materials management, separation of through-hole and surface mount components, and optional program generation for other types of production machinery. For larger enterprises, this partnership integrates YESTech machines into factories using the extended suite of Aegis manufacturing information systems.

JPSA Announces Enhanced Services, New Facility

HOLLIS, N.H. — JPSA Laser announces new capabilities and enhancements to its expanding contract UV laser micromachining services for various applications, ranging from semiconductor processing to biomedical and blue LED wafer scribing and beyond.

Click here to enlarge image

null

The contract manufacturing facility now houses 10 UV laser workstations. The applications laboratory also is equipped with a host of diagnostic equipment for ..laser process development and characterization. The company's engineers have developed F2 laser, optics, calorimetry and beam delivery technology. The capability allows the company to offer comprehensive testing of UV optics and materials processing services at 157 nm.

The laser's core capabilities include expertise in UV materials processing at 355, 351, 308, 266, 248, 193 and 157 nm wavelengths; a fully equipped applications development laboratory staffed with Ph.D. laser scientists; and a contract manufacturing facility equipped with JPSA industrial excimer workstations available to meet customer needs from prototype to high-volume production.

Aries Reduces RF Test Socket Quote Lead Times

FRENCHTOWN, N.J. — Aries Electronics reduced the lead times on price quotes for its line of RF test sockets to under one minute. Previously available only for the company's Microstrip RF sockets, the Insta-Quote system has been expanded to include its Interposer and Spring-Probe-based RF test sockets.

After entering custom RF test socket requirements, designers instantly receive a price and delivery quote via e-mail. Before the system's introduction, the industry standard delivery time for RF test socket quotes was a few days to a week, and even expedited quotes typically took a few hours. In addition to product pricing and delivery information, the recipient receives contact information for their local company representative, product part numbers for ordering and a quote for setup charges. All quotes are honored for 30 days.

Bill Sinclair, president and CEO, said, "Since many designers work under tight time constraints and budgetary requirements, when they need information, they need it quickly. We developed this system so they could instantly have pricing and delivery information sent directly to their desktop and keep their design process moving."

Cirrus Logic and ChipPAC Align

AUSTIN, TEXAS and FREMONT, CALIF. — Cirrus Logic Inc. sold its semiconductor test operations assets in Austin, Texas to ChipPAC Inc. Cirrus will transfer assets, including analog and mixed-signal testers, handlers and wafer probers, in exchange for case and services.

In addition to the transfer of assets, Cirrus Logic and ChipPAC have entered into a long-standing alliance under which ChipPAC will provide package development, wafer probe, assembly, final test and distribution services to Cirrus Logic. With more than 5,000 employees worldwide, ChipPAC offers QS 9000-certified facilities located in Korea, China and Malaysia, as well as advanced design and characterization services centers in California, Arizona and Korea.

STATS Expands into China

SINGAPORE and MILPITAS, CALIF. — ST Assembly Test Services Ltd. (STATS), a semiconductor test and advanced packaging services provider, will open a manufacturing facility in Shanghai, China. The new facility will give the company a strategic presence to participate in China's growing outsourcing semiconductor business, as well as support global customers who require assembly and test services in China.

STATS' Shanghai manufacturing facility is located in Zhangjiang High Tech Park, Pudong, a significant manufacturing hub in China. The area has a large base of IC design companies, wafer foundries, and assembly and test houses, and is home to two of China's first 8" wafer foundries.

The 25,000 sq ft leased facility, which is expected to be operational by the end of October, will offer wafer probe and final test for mixed-signal and high-end digital applications, including wired and wireless communications and digital consumer products.

Universal Price Slashing Aims to Set Product Pricing Standards


SEMI West 2003 Technical Session Highlights

By Julia Goldstein

Click here to enlarge image

SAN JOSE, CALIF. — The 28th Annual International Electronics Manufacturing and Technology (IEMT) Symposium held at SEMICON West gave technical professionals the opportunity to present their research to the packaging community. Scott Barrett of K&S Flip Chip Division (FCD) was presented with the best paper of the conference award for his paper on wafer-level packaging. Barrett described a new solder bump structure designed to reduce capacitance for analog RF or high-speed digital applications. FCD's previous generation process was reliable, but sometimes exhibited poor adhesion and step coverage as well as high capacitance. The key to the new technology is the addition of a 5 µm thick polymer dielectric layer under the bump. Barrett reported adequate reliability results, but has not yet conducted drop testing, considered to be critical for packages used in mobile products.

The session on wire bonding focused on new technology to support 50 µm and finer pitches and stacked-die bonding. Inderjit Singh of nVidia described the use of a tool to constrain the ball diameter to 1.3 times that of the wire diameter, allowing bonding with 18 µm diameter wire down to 40 µm pitch. This change in geometry plus use of stronger gold alloy wires has significant implications for testing of wire bonds, since the weakest point is no longer the heat affected zone above the ball, and the strongest wires may exceed the strength of aluminum. Paul Lin of K&S presented a process to improve wire bond yield by dispensing a liquid encapsulant around the wires immediately after wire bonding. Shorted wires can actually move apart during the dispense process, which can be done on bonder with UV cure or separately using either UV or heat; once the encapsulant is cured, the wires are protected from wire sweep during subsequent processing.

Marie Cole of IBM described changes to ceramic BGA and column grid array (CGA) structures to make lead-free connections to printed circuit boards. Ironically, the package is not truly lead-free because high-lead solders are still used for flip chip die attach. The BGA uses Sn/Ag/Cu solder balls and achieves better reliability results than for Sn/Pb balls. The CGA structures replace solder columns with tin-plated copper columns, joined to the package with Sn/Ag solder.

In the area of automatic test equipment, Nextest introduced a low-cost tester at the show, the Maverick Lightening, that combines dynamic analog and digital test capabilities. Geared toward the consumer digital appliance market, it is created by the addition of a mixed-signal pin card to Nextest's Maverick line of logic and memory testers. Agilent continued to promote their single-platform, expandable solutions, announcing no new platforms at the show. They are aiming at the growing subcontractor market with their 93000 line of SoC testers and are adding new capabilities with a 3.2 Gb mixed signal machine now shipping.

Many more excellent papers were presented during the three-day Symposium, and several sessions were filled to capacity. This is the second year that the IEMT Symposium has been held during SEMICON West, turning it into a well-attended technical conference as well as a great trade show.

MOVERS AND SHAKERS:

People

Bill Marca will assume the position of industry segment account manager for Universal Instruments (Binghamton, N.Y.). Within the new position, Marca will be responsible for defining market size and potential within a specific industry segment as well as working in conjunction with regional sales teams to support target accounts. Additionally, Bob Lamanna has been appointed director of global services. Lamanna's responsibilities will include increasing the company's market share, improving profitability and enhancing customer satisfaction.

BP Microsystems (Houston, Texas) appointed Lyman Brown as director of business development. With 30 years experience in the electronics and capital management industries, Brown has managed engineering, marketing, business development and business strategy. In this new position, Brown will oversee the product management, marketing and sales teams.

Robert Sweeney has been named managing director for Southeast Asia and Japan by GE Global Electronic Solutions (GES) (San Diego, Calif.). In his role, Sweeney will lead the company in driving expansion in Southeast Asia and Japan. He will spend half his time in San Diego, and the rest working directly from company offices in Tokyo, Singapore, Shanghai and Taipei.

Brian Hodges joined Anadigm Inc. (Campbell, Calif.) as vice president of worldwide marketing. Hodges has held various sales and marketing positions in the semiconductor industry, most recently as Intersil's director of worldwide sales, major accounts. Hodges led the team that grew market share for the company's power management IC business to the number one position for the world motherboard market.

Libra Industries (Mentor, Ohio) named Ray Merk manager of customer service. In this position, Merk will supervise the development and implementation of improved customer communications programs, including the quoting process, project tracking, scheduling, materials replenishment and customer service.

Companies

Tamura Kaken Corp. (Stockton, Calif.) opened a solder paste and flux blending manufacturing facility in Stockton, Calif. Under a joint agreement with Cookson Electronics Assembly Materials, the company will have local manufacturing and a source of supply to the solder market. Additionally, the company opened a product support office in San Jose, Calif.

Click here to enlarge image

FlexLink Systems Inc. (Lisle, Ill.) relocated its Addison, Ill. facility to a new building in Lisle, Ill. The new facility is located at 2150 Western Ct., Suite 420, Lisle, Ill. 60532; (630) 629-6900; Fax: (630) 629-6980. The new facility primarily will be a sales and engineering office.

Carsem Inc. (City of Industry, Calif.) received ISO/TS 16949:2002 quality management systems certification specific to the automotive industry at both its factories in Ipoh, Malaysia. The certification was awarded by TUV Rheinland/Berlin-Brandenbug, Germany, a third-party registrar.

Unaxis Semiconductors (St. Petersburg, Fla.) received the VLSI 10 Best Award for the third consecutive year. The company ranked sixth in the Small Suppliers of Wafer Processing Equipment category and achieved high scores from customers in the areas of quality of results, field engineering support, product performance and commitment to meeting the customers' needs. Results are based on customer survey responses, which rate suppliers on a range of equipment performance and customer service parameters.