Issue



News


07/01/2003







Newly Enhanced Lab Set to Ensure Quality

IRVINE, Calif. — Newport Corp. opened a newly enhanced Systems Test & Metrology Lab. Located here at the company's global headquarters for research and development (R&D), the lab is dedicated to measuring the performance of the company's motion control products to better serve customers.

The lab's enhancements include state-of-the-art environmental controls ensuring stability of environmental conditions, such as temperature, humidity, acoustics and vibration, as well as investment in new and advanced test equipment technology including a Zygo interferometer. The facility is constructed to meet the requirements of cleanroom operations necessary for metrology of nanometer-class precision measurements.

Lab enhancements were driven by the continuous requirement for greater precision in the semiconductor and fiber communications manufacturing industry. This has meant an ongoing need for increasingly higher precision motion control systems and the resulting demand for accurate measurement, bi-directional repeatability, pitch, roll and yaw performance.

Because test results can be affected by environmental factors, control of such variables as temperature stability, relative humidity, airborne contamination and more is necessary to ensure the consistency and quality of a lab's results. Particular attention has been given to background noise and vibration reduction.

Environmental controls allow the lab to measure both a product's stability with time and "drift" (non-stability) due to self-heating from powered components such as motors and encoder electronics. Additionally, the lab acquired instrumentation to measure key parameters.

Semiconductor Equipment Market Recovering

NEW TRIPOLI, Pa. — The worldwide semiconductor equipment market has begun its much anticipated recovery in 2003, according to the report "The Global Market for Equipment and Materials for IC Manufacturing," published by The Information Network.

According to the report, a 6 percent growth is expected from Q4 2002 to Q1 2003, and yearly growth of 11 percent. Equipment orders bottomed in the December 2002 quarter. The under-investment in technology in 2001 and 2002 has reversed itself and will return to normal levels in 2003.

The most updated ITRS roadmap supports smaller geometries, new materials and an increase in wafer size to 300 mm, which will drive the capital spending ratio of device manufacturers back above 20 percent of semiconductor sales. Demand for 200 mm equipment to upgrade existing fabs of top-tier customers and foundries to 0.13 µm and copper, combined with some renewed strength in Taiwan, should be the drivers of the improved order trend.

"We had anticipated a growth rate of 15.5 percent for equipment in January 2003," said Robert Castellano, Ph.D., president of The Information Network. "But the already poor economy, stretched to nearly the breaking point by the

'prewar jitters,' bad weather, high oil prices, low stock market, decimated consumer confidence and massive layoffs led us to reduce those forecasts nearly in half to 7.3 percent." He added that while leading indicators are up in the United States, the network will watch the global economic situation before increasing their forecast.

Cognex Acquires Siemens' Wafer ID Business

NATICK, Mass. — Cognex Corp. acquired the wafer identification business of Siemens Dematic AG, a subsidiary of Siemens AG. Under terms of the agreement, Cognex acquired the rights to all Siemens' patented and unpatented wafer identification technology, as well as the related assets of its wafer identification business.

"While the wafer identification business at Siemens was successful, it was too small to get the visibility it deserved within the multi-billion dollar global corporation," said Peter Drexel, Ph.D., member of the Managing Board of Siemens Dematic. "For that reason, we have decided to spin it out. We chose Cognex because of their industry leadership and their many years of experience with wafer readers."

Semiconductor manufacturers use wafer identification systems to read special codes that are etched by laser on semiconductor wafers as they go through various stages of the manufacturing process. These codes enable manufacturers to identify and trace wafers automatically at every processing stage and to build a database on their processing history.

This traceability is key to making sure that manufacturing processes are optimized, and that problems are discovered and corrected quickly. Wafer identification has become an increasingly important tool for semiconductor manufacturers because the larger 300 mm wafers are very valuable and, therefore, the cost of a misprocessed wafer can be significant.

Olympus Expands MEMS Services

SAN JOSE, Calif. — Olympus Partnership Development Group (PDG) expanded its microelectromechanical systems (MEMS) foundry and chip services. The company designs, performs rapid prototyping, manufacturers and packages MEMS for optical networking, biotech, medical and industrial applications. Additionally, they provide MEMS chips for variable optical attenuators (VOA), optical MEMS switch chips for small port accounts such as 1 x 2 and large port optical switches for optical cross connects.

Click here to enlarge image

The company's MEMS technology is focused on bulk micromachine processes, and they are capable of packaging MEMS for flip chip applications and other low-temperature processes.

The foundry offers partner companies access to precision and advanced equipment and test capabilities such as 1- and 2-D MEMS mirror devices, atomic force microscope probe tips and cantilevers, image sensors, photo sensors, BiCMOS, optical scanners, active-bending microcatheters, diagnostic tactile sensors, free-flow modules, and protein power measurement.

"The [PDG] was formed to work with companies to develop new products and technologies and bring them to market," said Lawrence Wang, vice president. "These new expanded MEMS capabilities enable us to offer our partners products and services for a wide array of applications while furthering advances and developments in micro-fabrication and micro-assembly technology."

Kyocera Relocates Oregon Operation

SAN DIEGO — Kyocera America Inc. announced plans to move its Beaverton, Ore., low-temperature co-fired ceramic (LTCC) manufacturing operations into its 288,000 sq ft main manufacturing facility in San Diego.

The move, expected to be complete by the end of August, is not forecasted to affect manufacturing capabilities, capacities or planned shipments. The company is working with customers and suppliers to ensure minimal or no impact to their operations.

A number of the Oregon facility's 45 employees have been offered relocation packages, while others will continue maintaining a small product technology and sales center in the Beaverton area.

The company hopes the move will streamline their manufacturing process, increase flexibility and consolidate capital equipment and technical resources throughout all Kyocera groups.

NEPCON West Postponed Indefinitely

NORWALK, Conn. — NEPCON West, Assembly West 2003 and Fiberoptic Automation Expo, scheduled for October 28 through 29, at the San Jose McEnery Convention Center, in San Jose, Calif., have been postponed indefinitely.

These three events first co-located in December 2002 in San Jose. Facing the continued recession in the electronics manufacturing industry, coupled with the trend for OEMs to outsource manufacturing and the migration of the electronics manufacturing industry to China, Reed Exhibitions stated that events reflect the markets they serve and, at this time, the Northern California market is not strong enough for NEPCON West to provide the return on investment desired by exhibitors.

The NEPCON events feature the industry's leading suppliers displaying a full range of electronics manufacturing solutions from adhesives and advance microelectronics to test equipment, rework, wire and cable assembly, and more. For more information, visit www.nepcon.com.

3D Packaging Symposium

Tessera Inc. will be hosting a 3D Packaging Symposium, featuring technical and business leaders from throughout the industry. The event will be on July 15 at the Fairmont Hotel in San Jose, Calif. See www.tessera.com for more information.

FeinFocus, Palomar Advance Services

STAMFORD, Conn. and VISTA, Calif. — FeinFocus USA and Palomar Technologies have teamed to address the manufacturing challenges of the optoelectronics, MEMS/ MOEMS and RF components markets. Palomar's Process Development and Prototyping Services (PDPS) will use the ..FeinFocus FOX-160.25MFT X-ray inspection system for the inspection of advanced components, materials and packaging technologies. The companies also will publish joint technical papers on their findings with regard to process development, testing, and manufacturing of optoelectroncis and micromechanical components and assemblies.

Click here to enlarge image

The relationship with FeinFocus will give Palomar the ability to conduct real-time inspection of complex assemblies that include chip-on-board, bond wires, BGA, microBGA and flip chip components, as well as other applications that require precise measurement.

Palomar's PDPS provides the design, engineering, assembly and automation expertise, and metrology resources necessary to bridge the gap between product concept and automated production for companies looking to develop or validate new products.

STATS, Aeluros Develop Low-cost Solution

SINGAPORE and MILPITAS, Calif. — ST Assembly Test Services Ltd. (STATS), an independent semiconductor test and advanced packaging service provider, developed a low-cost packaging solution for 10 Gbps applications by optimizing the technical characteristics of the standard laminate PBGA package.

STATS' 10 Gbps solution was developed jointly with Aeluros, a high-performance, low-power CMOS solutions provider based in Mountain View, Calif. By combining power reduction techniques with a deterministic product development methodology that models all the components of a high-speed circuit, Aeluros' technology enables an increase in both device and system density.

By combining respective R&D development efforts during the IC design layout process, the two companies were able to expand the potential of the PBGA package to address the requirements of 10 Gbps applications.

AIT Consolidates and Expands

PLEASANTON, Calif. — Advanced Interconnect Technologies (AIT) consolidated and expanded its assembly and test operations in its factory in Batam, Indonesia.

The move bolsters one of the region's most advanced back-end production and test facilities and will enable customers to streamline operations by leveraging a single location for complete IC subcontract assembly and test services.

As a result of the consolidation, the company phased out operations at its Hong Kong assembly and test facility in early May.

"The phased closure of the Hong Kong facility comes in response to a particularly difficult array packaging sector that has been crippled by severe price erosion and ongoing overcapacity, coupled with low customer and end-user demand," said Ralph Duceour, president and CEO.

The company hopes that by centralizing their Asian operations, they will be able to execute further capital expenditures for investment in new packaging and test technologies and expansion worldwide.

Improving Communication Between PCB Designers and Manufacturers

SAN JOSE, Calif. — The topic of impedance matching is an important one for PCB design. At IPC's Silicon Valley Chapter meeting May 13, Lee Ritchey (Speeding Edge) discussed why commonly used methods don't work well and what to do about it.

Audience members described problems they had when PCB fabs changed line widths or materials from what was specified or assumed, resulting in a board that did not meet specifications. Ritchey emphasized the need for designers to specify exact materials, and even to make clear which plans are qualified to manufacture the boards. Process control is not necessarily the same at every facility, even within one company. Many fabs are used to making boards for PCs and are not ready for boards with 14 or more layers operating at several GHz.

MOVERS AND SHAKERS

People


Claus Lichtenberg
Click here to enlarge image

Claus Lichtenberg has taken over as president of Alphasem AG (Berg/TG, Switzerland) and its related companies. Lichtenberg's experience in the semiconductor equipment area will benefit the company, allowing them to implement growth plans consequently and efficiently.


Dana Ditmore
Click here to enlarge image

SunSil Inc. (Alamo, Calif.) named Dana Ditmore as an advisory board member. Ditmore currently is president and COO of Tru-Si Technologies Inc., and has more than 20 years of senior management experience in semiconductor equipment and high technology.

Professor Andreas Moebius, Ph.D., has been appointed R&D Fellow by Enthone Inc., a Cookson Electronics PWB Materials & Chemistry business (West Haven, Conn.). Moebius is responsible for identifying and evaluating new technology opportunities to further strengthen and grow the company's technology portfolio.

Leica Microsystems AG (Wetzlar, Germany) appointed Wolf-Otto Reuter, Ph.D., as CEO. Reuter joined the company in 1977 when it operated under the name Ernst Leitz Wetzlar GmbH. He has since held various management posts in areas such as R&D, product management, marketing and sales, strategic planning, and innovation and technology.

Neil Murphy retired from Universal Instruments Corp. (Binghamton, N.Y.) after more than 27 years of service. Murphy joined the company in 1976 as a sales engineer covering eastern Pa., N.J. and metropolitan N.Y./Long Island, and ended his career as regional sales manager for the eastern United States. Matt Gilroy has been selected to fill Murphy's position.

Companies

Degussa AG and LPKF Laser & Electronics AG (Wilsonville, Ore.) entered into a transfer and license agreement. With the contract, Degussa AG obtains the license to produce and sell doped, cross-linkable PBT granulate. On the basis of this granulate, the surface of thermoplastics can be activated and metalized by a laser system developed and sold by LPKF.

Vitronics Soltec (Oosterhout, The Netherlands) appointed Thermo-Tech Co. Ltd. (Tokyo) as its sales and service representative in Japan. Thermo-Tech will offer the full range of wave, reflow and selective soldering systems and support — from sales, service and equipment installation to operator training and applications engineering support — to customers throughout Japan.

Palomar Technologies (Vista, Calif.) entered into agreements with several companies to sell the company's automation products and process development services in Asia. Autron will offer Palomar's equipment and process solutions to its expanding optoelectronics customer base in Malaysia, Thailand, Taiwan and China. Other Chinese representatives include Dymek Asia and ETSC Tech. Globaltech Corp. will represent Palomar in the Philippines, with Qualmax Inc. in South Korea and Marubun Corp. in Japan.

AIM (Montreal, Canada) appointed Rubroeder: Factory Automation GmbH as distributor for their line of solders and related assembly materials for Germany. Rubroeder forms another link in the global sales and technical support of the AIM line of assembly and fabrication products.