Issue



Product Preview


07/01/2003







Bond Tester

Click here to enlarge image

Designed to meet the demands of testing ultra-fine-pitch wire-bonded devices, the Series 5000 Bondtester is said to be capable of testing bonds at pitches of 50 micron and below. An advance from the company's Series 4000 Bondtester, the upgraded model reportedly includes numerous advantages, including optical systems to help operators align and grade the bonds, ultra-low force transducer systems and shear-and-pull tooling to minimize lead and ball damage. Dage Precision Industries Inc., Fremont, Calif., www.dageinc.com.

CSP Solution

Click here to enlarge image

A CSP solution for highly integrated radio frequency (RF) modules, the Pyxis platform reportedly integrates RF devices with their surrounding circuitry while delivering cost, height and area savings for more feature-rich, low-cost wireless electronics products. The solution extends the reach of CSP technology to tackle ..RF integration challenges. Combining several technologies (µBGA, flip chip, EMI shielding techniques and more), the platform is said to overcome size, reliability, performance, thermal and noise isolation challenges currently impending higher levels of RF integration. Tessera Technologies Inc., San Jose, Calif., www.tessera.com.

Plasma Treatment System

Click here to enlarge image

The PCB 2800 gas plasma treatment system is designed for Teflon activation and plasma uniformity for desmear and etchback in high-volume applications. Offering a compact system configuration and upgraded features for quick pump-down and increased gas flow, the system is said to provide total process control by individually configuring each aspect of the ..plasma process to achieve treatment uniformity. Additionally, the system can be programmed to manage multiple applications and provides bar coding by lot, part number, customer fields and operator ID. March Plasma Systems, Concord, Calif., www.marchplasma.com.

Digital Dispensing System

Click here to enlarge image

A servo-driven, automated dispensing system for precision processes, Champion 6809 is designed for improved accuracy, speed and repeatability. Featuring easy-to-use proprietary software and a graphics user interface that allows for intuitive programming and control, the system includes a high-contrast color TFT display. Additionally, the dispenser can be configured to match exacting application requirements from dots to underfills with an array of options. With a placement accuracy reportedly within 3 µm, the system dispenses ..dots to 0.003" with bead width to 0.003" as well. Creative Automation Co., Sun Valley, Calif., www.creativedispensing.com.

Semi-aqueous Cleaner

A semi-aqueous cleaner for semiconductor and wafer-lefel packaging, Micronox MX2301 is designed for defluxing semiconductor wafer bumps found in electronic components. This product is designed to solvate tough-to-remove residues. Commerically available in 1-, 5- and 55-gallon drums, the cleaner appears as a colorless liquid, and is a blend of inorganic and organic materials, surfactants, and rinse aides. Kyzen Corp., Nashville, Tenn., www.kyzen.com.

Thin-array Plastic Package

The leadless Thin-array Plastic Package (TAPP) features high I/O capability, single and multiple rows, 0.4 mm thickness for some applications, and an exposed die-attach pad, allowing for optimum thermal performance. Environmentally friendly, the package also is available in lead-free and green options, and reportedly offers a yield of 99.8 percent because of its process flow and chemically milled processing. ASAT Holding Ltd., Fremont, Calif., www.asat.com.

Vibration-free Platform

Click here to enlarge image

Model 2212 Vibration-free Platform is a portable vibration control system with an "ultraflat" tabletop. With a guaranteed flatness of ±0.004" over 24 x 24", the improved model reportedly enhances the performance of atomic force ..microscopes, microhardness testers, profilometers, balance, audio components and other precision tabletop equipment. Combining the economy and portability of a breadboard with the self-leveling, active-air system of a vibration isolation workstation, the platform is designed to provide vertical vibration control and low natural frequency. Available in four table sizes up to 24 x 36", the system can handle load capacities to 450 lb. Kinetic Systems Inc., Boston, Mass., www.kineticsystems.com.

Stencil/Screen Printer

MiniTouch MT2020 is a robust, low-cost semiautomatic stencil/screen printer that reportedly offers advanced technological features and improved operation. The printer offers precision and consistency in paste deposition and can be used in both wafer printing and bumping as well as flip chip applications. A self-diagnostic program for instant troubleshooting is built-in to ensure maximum productivity and keep the product running under all printing conditions. With a print area of 14 x16", the printer offers X, Y and Theta adjustments, programmable squeegee speed and printing pressure, and last board recall and board storage. Milara Inc., Medfield, Mass., www.milarasmt.com.

Component Attach Tool

Click here to enlarge image

FAST 35 Active Component Attach Tool is a multi-purpose tool that can be configured to use laser welding, epoxy or soldering attach methodologies to automate the active optical alignment, assembly and fiber attach of active photonic components such as source lasers, pump diodes and tunable lasers, as well as butterfly and mini-DIL packages. Compatible with a range of optical components and package sizes for improved flexibility from a single tool, the product reportedly delivers fully automated align and attach of subcomponents with high process ..repeatability and improved coupling efficiency. Vision-guided automated placement of discrete subcomponents reportedly ensures high placement accuracy. Palomar Technologies, Vista, Calif., www.palomartechnologies.com.

Stencil Cleaning System

Model 201SC-CLR Closed-loop Ultrasonic Stencil Cleaning System removes solder paste and adhesive from stencils, screens and misprinted assemblies with no negative environmental impact. The system is self-contained and produces no liquid waste stream. Additionally, it is equipped with a wash solution filtration system that automatically removes solder pastes and adhesives from the wash solution, lengthening the wash solution's useful life and eliminating a drain connection. Additionally, it is equipped with a closed-loop rinse water recycler that automatically captures, filters, re-de-ionizes and re-uses the rinse water. Aqueous Technologies Corp., Rancho Cucamonga, Calif., www.aqueoustech.com.

RF Test Sockets

Click here to enlarge image

Adding thermoelectric cooling to the Interposer, Spring Probe and Microstrip Contact RF test socket lines, these test sockets with the conduction-cooling system reportedly are suitable for BGA, LGA and CSP package designs, and typically are used in high-powered devices that require thermal management, such as in military applications. The cooling system is said ..to eliminate the need for other methods of thermal control because the sockets are in direct contact with the cooling system. Additional thermal management properties that help reduce cost and test times include a built-in thermistor to record temperatures and a copper heat sink with an integrated fan assembly. Aries Electronics Inc., Frenchtown, N.J., www.arieselec.com.

Programmable Zoom and Focus

ClearVU Vision Alignment is a programmable zoom and focus feature that has been added to the company's semiautomated and inline dispensers for high-precision HDI and semiconductor packaging applications. The feature is said to simplify set-up requirements of subminiature substrates, varying size components and multi-level assemblies for various production environments. The alignment feature reportedly allows operators to zoom in on miniature fiducials — 0.010" square or smaller, thereby improving alignment accuracy prior to commencement of the dispense program. It also features a multi-level programmable focus that allows operators to verify a fiducial on the substrate level and then switch to verify a component feature on a different level of the product or assembly. GPD Global, Grand Junction, Colo., www.gpd-global.com.

Connectivity Software

CIM300 Expert connectivity software reportedly allows conversion of equipment software — with or without a GEM interface — to full 300 mm compliance in approximately six to eight weeks. The software works with the company's current software but adds modules to handle the 200 and 300 mm graphical user interface, equipment front-end module logic and interfacing, and 300 mm local and remote mode logic. Additionally, the software reportedly supports improved flexibility for the toolmaker by allowing the use of different EFEM suppliers, and includes a source code license and simplified API that handles the integration of major 300 mm standards. Cimetrix, Salt Lake City, Utah, www.cimetrix.com.

Large-format AOI

A large-format automatic optical inspection system developed with a 20 x 26" inspection area, NspecXL offers "on-the-fly" zoom for small component inspection, fire-wire technology, high accuracy and throughput, and easy programming. The series is an AOI system for printed circuit board assemblies. Christopher Group Inc., Santa Ana, Calif., www.christopherweb.com.

Stencil Frames

Click here to enlarge image

The Wizard Frame System eliminates hazardous, razor sharp edges and allows for stencil storage. Consisting of the four-way master stretch frame, the first frame is a plastic container protecting the technician from injuries ..and the second frame is a lightweight aluminum stretch frame that accepts the plastic frame with the stencil, and tensions the stencil in four directions. QTS Inc., East Walpole, Mass., www.qtsframe.com.

FCOSL Packages

High-performance flip chip on standard leaded (FCOSL) packages use pillar bumping technology to provide better electrical and thermal performance in a smaller footprint than current wire-bond technology, according to company claims. The technology offers the advantages of flip chip combined with the cost-effectiveness of standard leaded packages, and are available in SOIC, TSSOP, SiP and QFP configurations. The packages also provide enhanced electrical characteristics and improved thermal performance by using perimeter or flip chip pads, which connect the IC to a copper substrate across a shorter path. Advanced Interconnect Technologies Inc., Pleasanton, Calif., www.aitsales.com.

Dielectric Adhesive

Click here to enlarge image

A dielectric adhesive formulated with a high thermal conductivity filler and collapsible spacers, QMI 9503 is said to deliver uniform bond lines. Developed for stacked die packages, this adhesive can attach ICs and components to advanced substrates used in PBGAs, CSPs and ..array packages based on flexible tape and organic laminates. Hydrophobic and stable at high temperatures, the adhesive is nonabrasive on polyimide passivation, and produces void-free lines with interfacial adhesion strength to a variety of organic and metal surfaces. Henkel Loctite Corp., Düsseldorf, Germany, www.loctite.com/electronics.

No-clean Paste

An all-purpose, no-clean solder paste with ICT pin-probeable residues and printing capabilities to ultra-fine-pitch, CSP and 0201 component locations, PureMark 202 is said to deliver a wide reflow window, easy-to-penetrate flux residues and a consistent clean stencil release for fine-pitch applications to 0.4 mm. The paste's anti-slump and solder print deposit definition characteristics reportedly are constant with varying print speeds up to 8" per second. Additionally, print quality is said to remain high even with downtimes up to two hours. Kester Northrop Grumman, Des Plaines, Ill., www.kester.com.

Noncontact Transfer Picking Device

Click here to enlarge image

A picking device that operates by the Bernoulli principle, the Noncontact Transfer is said to apply airflow under the device to create a vacuum and lift force between the center and the circumference. Because of the vacuum and ..continuous flow, the lifted object reportedly does not attach to the device's surface, enabling the handling of delicate items. The device is for applications in which vacuum technology with suction cups is not feasible because good contact cannot be made with an object's surface. Other applications include handling electronics circuit boards and other objects with perforations or rough surfaces. Bosch Rexroth Corp., Lexington, Ky., www.boschrexroth-us.com.

Low-k Dielectric Process

Said to eliminate implementation barriers with porous, spin-on dielectric approaches, Solid First overcomes barrier layer integrity, mechanical polishing and process-induced k value increases. The process uses the company's dielectric materials in a non-porous state that reportedly withstands the demanding processing steps associated with advanced interconnect fabrication. The problematic processing steps of etching, polymer residue removal and chemical mechanical polishing are accomplished on a "solid" film. Shipley Co. LLC, Marlborough, Mass., www.shipley.com.

Multiple-piston Pump

The Multi-Piston Pump reportedly provides positive displacement and digital control for repeatable dispense precision of ±1 percent at three standard deviations with no need for weight scale correction. Because it uses multiple pistons, the pump is said to not require a recharge step, allowing for maximum throughput regardless of dispense volume. Additionally, carbide inserts allow for the use of abrasive encapsulants and underfill materials. Featuring a quick-disconnect fitting for attachment/detachment, the pump allows quick part cleaning offline to avoid delays. Cookson Electronics Equipment, Franklin, Mass., www.cooksonelectronics.com.

Data Matrix Camera

Click here to enlarge image

The HawkEye 1500 series smart camera features fixed-station readers and delivers fast data matrix reading in a compact packaging that fits in the palm of a hand. Decoding algorithms reportedly allow the camera to reliably read damaged, distorted or otherwise challenging codes directly marked on various surfaces. Features such as audiovisual alignment, auto-learn capability and intelligent imaging ..reportedly simplify integration and deployment to provide easy setup, line changeover and maintenance. RVSI Acuity CiMatrix, Nashua, N.H., www.rvsi.com.

In-circuit Tester

The TestStation LH ICT system offers a lower-cost, small footprint, feature scalable version. The tester reportedly features the voltage accuracy and backdrive current measurement embedded in the company's protection technology to provide accurate, reliable and safe powered-up testing of today's low-voltage technologies, ensuring product quality and reducing overall product cots. Its scalable feature set is said to allow a flexible ICT solution and can be configured from 256 to 4,096 test pins. Teradyne, Assembly Test Div., North Reading, Mass., www.teradyne.com.

Programming and Optimization Software

The latest version of this company's programming and optimization software is said to include enhanced support as well as CAD import and CAD view capability. Dimension Programming and Optimization (DPO) includes support for HSP4797 component library management, allowing HSP machines to be programmed to place a greater variety of components. The CAD import capability supports 15 different CAD formats, and the CAD view option reportedly allows users to review imported CAD drawings as well as display a board's components in different views. Universal Instruments Corp., Binghamton, N.Y., www.uic.com.

Placement Machine

Click here to enlarge image

An improved version of the Ultra-High Speed Siplace HS Series achieves a placement rate of 60,000 components per hour by means of a collect-and-place head, flexible conveyor and ..optimized machine software. The Siplace HS-60 reportedly places the range of components from 0201 to 18.7 x 18.7 mm at 80 µm and 4 sigma, regardless of the component's orientation as a QFP or chip. Siemens Dematic Electronics Assembly Systems Inc., Norcross, Ga., www.siplace.com.

Underfill Valve

DispenseJet DJ-2100 Series Valve for underfill is said to bring the innovation of jet dispensing to the underfill process. The valve is noncontact and drop-on-demand, reportedly providing fast fluid dispensing of various fluids, including underfill. Additionally, the valve is capable of shooting precise volumes of fluid with dot volume repeatability of ±3 percent on lines and patterns. Asymtek, Carlsbad, Calif., www.asymtek.com.

Specialty Valves

Click here to enlarge image

The Dymatrix line of valves and control products reportedly provides high-performance solutions for CMP processes and ultrapure water and chemical applications. The line includes High Purity Pinch, Multiport and Surface Mount Valves, Pressure Regulators, and ..Flow Controllers. The pinch valves are said to provide an extended lifecycle over two million cycles, eliminating stress and particle cohesion. The multiport valves use a straight-through design to minimize pressure loss, maintain high Cv values and allow for stress-free slurry operation in a range of applications. The surface-mount diaphragms offer manifold-style valves with a simplified design. The regulators feature an all-wetted PTFE design that maintains constant outlet liquid pressure or flow, creating a solution for point-of-use applications requiring exact flow control. Asahi/America Inc., Malden, Mass., www.asahi-america.com.

Carrier Tape

Designed for transporting semiconductor devices such as SOIC, TSOP, QFP and more, Polystyrene Carrier Tape 4000 is said to offer increased resistance to ESD damage during loading and pick-and-place operations. It is static dissipative throughout the total thickness of the tape, and scoring and scratching does not affect its static control properties. Additionally, the company's heat-activated cover tape 2686 is said to be compatible with the carrier tape for added flexibility. 3M Electronic and Interconnect Solutions Div., Austin, Texas, www.3M.com/eisd.

Stacked-die CSPs

The 10 x 14 mm package reportedly can house different types of semiconductors, including memory and ASIC chips to accommodate the feature-rich applications in compact footprint products such as cell phones, PDAs, digital cameras and more. The CSPs are said to be capable of stacking two to five die vertically and side-by-side. ChipPAC Inc., Fremont, Calif., www.chippac.com.

RF Tester

Click here to enlarge image

A low-cost tester for RF components, the Radio Frequency Tester (RFT) is said to be a high-reliability RF only test system to address high-volume RF test requirements. The tester reportedly provides semiconductor companies with shorter test development cycles, allowing a faster response to customer requests. The tester is designed for ..low pin count, high-performance RF devices and can perform both continuous wave and pulsed measurement. Amkor Technology, Chandler, Ariz., www.amkor.com.

Single-chip Die Attach

Designed for high-precision single-die applications, GAMMA reportedly is for processing small and large chips, as well as for any kind of matrix applications {em even on warped substrates. Depending on the required precision and chip size, the system can process up to 4,000 uph, and adhesive can be applied via stamping or dispensing. Additionally, the system is said to be capable of processing wafers up to 8". It offers a wafer-changing system that handles up to 25 wafers. Chips with edge lengths of 0.17 to 35.00 mm can be processed and fitted onto 0.1 to 1.0 mm thick lead frames or strips. Datacon Technology AG, Radfeld, Austria, www.datacon.com.

Ceramics and Coatings

Click here to enlarge image

A line of ceramics and coatings designed for semiconductor applications includes controlled resistivity ceramics for static dissipation and Everslik 1201, a thin coating for applications requiring extreme corrosion resistance. The resistivity ..ceramics are said to be for mechanical, chemical or vacuum applications that require controlled electrical conduction or static charge dissipation. Everslik 1201 is an epoxy-based coating for all metal hardware on CMP equipment, etching equipment, wafer handling equipment or any other application requiring chemical, chip and abrasion resistance. Morgan Advanced Ceramics, Peachtree City, Ga., www.morganadvancedceramics.com.

Microtest Systems

Click here to enlarge image

The Model 5848 MicroTester tests microelectronics devices, MEMS, photonic and other small components. Additionally, the system provides load and displacement measurement capability combined with cyclic performance. With a load capacity of 2 kN, the tester is said to provide the sub-micron position measurement accuracy and ultra-high-precision load and position control capability required for static testing of micro-components and the performance necessary for cyclic fatigue testing. The design includes a rigid frame, capable of use in either horizontal or vertical orientations, which allows for deformation measurements. Instron, Canton, Mass., www.instron.com/pr.

Wire Bonder

Featuring a rotating bondhead, Tsunami Wire Bonder 3100 reportedly can break existing performance barriers and deliver high levels of speed and accuracy. The company's TY technology links rotational to linear motion to create a q/y-movement, which is said to allow for the rotating bondhead. Additionally, the system features a 45 µm production capability and can cope with a range of lead frame and organic substrate applications. With an improved statistical process control system, the system monitors and analyses relevant process parameters, intervening in the bonding process, if necessary. ESEC, Cham, Switzerland, www.esec.com.